Emit B (unconditional branch) when -relocation-model=pic and J (jump) when