[SROA] Rip out all support for SSAUpdater in SROA.
[oota-llvm.git] / test / Transforms /
2015-08-26 Chandler Carruth[SROA] Rip out all support for SSAUpdater in SROA.
2015-08-26 JF BastienComparing operands should not require the same ValueID
2015-08-25 Wei MiThe patch replace the overflow check in loop vectorizat...
2015-08-25 Piotr Padlewskiassume.ll test fixup
2015-08-25 Piotr PadlewskiAssume intrinsic handling in global opt
2015-08-22 David BlaikieUpdate test case so it passes the verifier
2015-08-21 JF BastienImprove the determinism of MergeFunctions
2015-08-21 Adam Nemet[LAA] Hold bounds via ValueHandles during SCEV expansion
2015-08-21 Sanjoy DasRe-apply r245635, "[InstCombine] Transform A & (L ...
2015-08-21 Simon PilgrimLine endings fix.
2015-08-21 NAKAMURA TakumiRevert r245635, "[InstCombine] Transform A & (L - 1...
2015-08-21 Michael Zolotukhin[SLP] Add one more test case for propagating 'nontempor...
2015-08-20 David Majnemer[InstSimplify] add nuw %x, C2 must be at least C2
2015-08-20 Sanjoy Das[InstCombine] Transform A & (L - 1) u< L --> L != 0
2015-08-20 Michael Zolotukhin[SLP] Propagate 'nontemporal' attribute into vectorized...
2015-08-20 Michael Zolotukhin[LoopVectorize] Propagate 'nontemporal' attribute into...
2015-08-20 Jingyue Wu[NVPTX] truncating 64-bit to 32-bit is free
2015-08-20 Adrian PrantlFix a bug that caused SimplifyCFG to drop DebugLocs.
2015-08-20 Balaram MakamOptimize bitwise even/odd test (-x&1 -> x&1) to not...
2015-08-20 Bjorn SteinbrinkRevert "[DSE] Enable removal of lifetime intrinsics...
2015-08-20 Bjorn Steinbrink[DSE] Enable removal of lifetime intrinsics in terminat...
2015-08-19 Eric ChristopherRevert "Fix PR24469 resulting from r245025 and re-enabl...
2015-08-19 Hal FinkelMake ScalarEvolution::isKnownPredicate a little smarter
2015-08-18 David Majnemer[InstSimplify] Don't assume getAggregateElement will...
2015-08-18 Justin BognerRevert "Constant propagation after hiting llvm.assume"
2015-08-18 Piotr PadlewskiConstant propagation after hiting llvm.assume
2015-08-17 Silviu Baranga[CostModel][AArch64] Increase cost of vector insert...
2015-08-17 Karthik BhatFix PR24469 resulting from r245025 and re-enable dead...
2015-08-17 David MajnemerRevert "[InstCombinePHI] Partial simplification of...
2015-08-16 Sanjay Pateltransform fmin/fmax calls when possible (PR24314)
2015-08-16 David MajnemerRevert "Add support for cross block dse. This patch...
2015-08-16 David Majnemer[InstCombine] Replace an and+icmp with a trunc+icmp
2015-08-15 JF BastienAccelerate MergeFunctions with hashing
2015-08-15 Matt ArsenaultLoopStrengthReduce: Try to pass address space to isLega...
2015-08-14 Nick LewyckyFix a crash where a utility function wasn't aware of...
2015-08-14 Bjarke Hammersholt... [SCEV] Apply NSW and NUW flags via poison value analysi...
2015-08-14 Chad RosierCleanup test whitespace or lack thereof. NFC.
2015-08-14 Karthik BhatAdd support for cross block dse.
2015-08-14 Jingyue Wu[SeparateConstOffsetFromGEP] sext(a)+sext(b) => sext...
2015-08-13 Davide Italiano[SimplifyLibCalls] Correctly set the is_zero_undef...
2015-08-13 Jingyue Wu[SeparateConstOffsetFromGEP] strengthen the inbounds...
2015-08-13 Igor LaevskyEmit argmemonly attribute for intrinsics.
2015-08-13 Erik Eckstein[DeadStoreElimination] remove a redundant store even...
2015-08-13 Charlie Turner[InstCombinePHI] Partial simplification of identity...
2015-08-13 Simon Pilgrim[InstCombine] SSE/AVX vector shifts demanded shift...
2015-08-12 Philip Reames[RewriteStatepointsForGC] Avoid using unrelocated point...
2015-08-12 Philip Reames[RewriteStatepointsForGC] Handle extractelement fully...
2015-08-12 Simon Pilgrim[InstCombine] Move SSE/AVX vector blend folding to...
2015-08-12 Adam Nemet[LoopDist] Add test for missing coverage
2015-08-11 Sanjoy DasFix PR24354.
2015-08-11 Chen Li[LowerSwitch] Fix a bug when LowerSwitch deletes the...
2015-08-11 Sanjay Patelfix typos; NFC
2015-08-11 Sanjay Patelfix minsize detection: minsize attribute implies optimi...
2015-08-11 Mehdi AminiFix InstCombine test: invalid CHECK line slipped in...
2015-08-11 Sanjay Patelremove unnecessary settings/attributes from test case
2015-08-11 James MolloyAdd support for floating-point minnum and maxnum
2015-08-11 Tyler NowickiPrint vectorization analysis when loop hint is specified.
2015-08-11 Sanjoy DasAddress post-commit review from r243378.
2015-08-10 Tyler NowickiExtend late diagnostics to include late test for runtim...
2015-08-10 Tyler NowickiMake fp vectorization test X86 specified to avoid cost...
2015-08-10 Simon Pilgrim[InstCombine] Move SSE2/AVX2 arithmetic vector shift...
2015-08-10 Jonathan RoelofsFix a few more cases of 'CHECK[^:]*$'. NFCI
2015-08-10 Tyler NowickiLate evaluation of the fast-math vectorization requirement.
2015-08-10 Tyler NowickiModify diagnostic messages to clearly indicate the...
2015-08-10 Jonathan RoelofsFix a bunch of trivial cases of 'CHECK[^:]*$' in the...
2015-08-10 Mark HeffernanAdd new llvm.loop.unroll.enable metadata.
2015-08-10 Fraser CormackPrevent the scalarizer from caching incorrect entries
2015-08-09 David Majnemer[PHITransAddr] Don't assume that instruction operands...
2015-08-07 Chen Li[ConstantFoldTerminator] Preserve make.implicit metadat...
2015-08-07 Simon Pilgrim[InstCombine] Fix SSE2/AVX2 vector logical shift by...
2015-08-06 Sanjoy Das[IndVars] Fix PR24356.
2015-08-06 Quentin Colombet[Reassociation] Fix miscompile for va_arg arguments.
2015-08-06 Richard DiamondFix an alignment error in `llvm::expandAtomicRMWToCmpXc...
2015-08-06 Renato GolinRevert "Divide the primitive size in bits by eight...
2015-08-05 Richard DiamondDivide the primitive size in bits by eight so the initi...
2015-08-05 Chen Li[LoopUnswitch] Preserve make.implicit metadata for...
2015-08-05 Simon Pilgrim[InstCombine] Added more specific SSE2/AVX2 vector...
2015-08-04 Simon Pilgrim[InstCombine] Split off SSE2/AVX2 vector shift tests.
2015-08-04 Mehdi AminiUpdate test suite to make "ninja check" succeed without...
2015-08-04 Sanjoy DasRevert "[LSR] Generate and use zero extends"
2015-08-03 Chandler Carruth[Unroll] Improve the brute force loop unroll estimate...
2015-08-03 Duncan P. N. Exon... DI: Disallow uniquable DICompileUnits
2015-07-31 Duncan P. N. Exon... DI: Remove DW_TAG_arg_variable and DW_TAG_auto_variable
2015-07-30 Wei Mi[SLP vectorizer]: Choose the best consecutive candidate...
2015-07-29 Michael Zolotukhin[Unroll] Handle SwitchInst properly.
2015-07-29 Michael Zolotukhin[Unroll] Don't crash when simplified branch condition...
2015-07-29 Michael ZolotukhinRename test full-unroll-bad-geps.ll to full-unroll...
2015-07-28 Sanjoy Das[Statepoints] Let patchable statepoints have a symbolic...
2015-07-28 Jingyue Wu[SCEV] Apply NSW and NUW flags via poison value analysis
2015-07-28 Chih-Hung HsiehImplement target independent TLS compatible with glibc...
2015-07-28 Sanjoy DasFileCheck'ify some wc/grep based tests; NFCI.
2015-07-28 Sanjoy Das[LSR] Move X86 specific test case to X86/
2015-07-27 Sanjoy Das[LSR] Generate and use zero extends
2015-07-27 Sanjoy Das[IndVars] Make loop varying predicates loop invariant.
2015-07-27 Simon Pilgrim[InstCombine][X86][SSE] Replace sign/zero extension...
2015-07-27 Matt ArsenaultFix assert when inlining a constantexpr addrspacecast
2015-07-27 Silviu BarangaThe tests added in r243270 require asserts to be enabled
2015-07-27 Silviu BarangaFix the tests added in r243270. Use 2>&1 instead of |&
2015-07-27 Silviu Baranga[ARM/AArch64] Fix cost model for interleaved accesses
2015-07-26 Jingyue WuRoll forward r243250
next