replace a balnk with -
authorJia Liu <proljc@gmail.com>
Fri, 24 Feb 2012 02:05:28 +0000 (02:05 +0000)
committerJia Liu <proljc@gmail.com>
Fri, 24 Feb 2012 02:05:28 +0000 (02:05 +0000)
git-svn-id: https://llvm.org/svn/llvm-project/llvm/trunk@151337 91177308-0d34-0410-b5e6-96231b3b80d8

lib/Target/Mips/MCTargetDesc/MipsBaseInfo.h

index 9d7d148e87831722883ca80b9edfce1236422d5d..14bacc755e0670f1d86330a89b0cdb462a2cb0e1 100644 (file)
@@ -1,4 +1,4 @@
-//===-- MipsBaseInfo.h - Top level definitions for MIPS MC --- --*- C++ -*-===//
+//===-- MipsBaseInfo.h - Top level definitions for MIPS MC ------*- C++ -*-===//
 //
 //                     The LLVM Compiler Infrastructure
 //