Added support for handling unpredictable arithmetic instructions on ARM.
[oota-llvm.git] / lib / Target / ARM / ARMInstrInfo.td
1 //===- ARMInstrInfo.td - Target Description for ARM Target -*- tablegen -*-===//
2 //
3 //                     The LLVM Compiler Infrastructure
4 //
5 // This file is distributed under the University of Illinois Open Source
6 // License. See LICENSE.TXT for details.
7 //
8 //===----------------------------------------------------------------------===//
9 //
10 // This file describes the ARM instructions in TableGen format.
11 //
12 //===----------------------------------------------------------------------===//
13
14 //===----------------------------------------------------------------------===//
15 // ARM specific DAG Nodes.
16 //
17
18 // Type profiles.
19 def SDT_ARMCallSeqStart : SDCallSeqStart<[ SDTCisVT<0, i32> ]>;
20 def SDT_ARMCallSeqEnd   : SDCallSeqEnd<[ SDTCisVT<0, i32>, SDTCisVT<1, i32> ]>;
21
22 def SDT_ARMSaveCallPC : SDTypeProfile<0, 1, []>;
23
24 def SDT_ARMcall    : SDTypeProfile<0, -1, [SDTCisPtrTy<0>]>;
25
26 def SDT_ARMCMov    : SDTypeProfile<1, 3,
27                                    [SDTCisSameAs<0, 1>, SDTCisSameAs<0, 2>,
28                                     SDTCisVT<3, i32>]>;
29
30 def SDT_ARMBrcond  : SDTypeProfile<0, 2,
31                                    [SDTCisVT<0, OtherVT>, SDTCisVT<1, i32>]>;
32
33 def SDT_ARMBrJT    : SDTypeProfile<0, 3,
34                                   [SDTCisPtrTy<0>, SDTCisVT<1, i32>,
35                                    SDTCisVT<2, i32>]>;
36
37 def SDT_ARMBr2JT   : SDTypeProfile<0, 4,
38                                   [SDTCisPtrTy<0>, SDTCisVT<1, i32>,
39                                    SDTCisVT<2, i32>, SDTCisVT<3, i32>]>;
40
41 def SDT_ARMBCC_i64 : SDTypeProfile<0, 6,
42                                   [SDTCisVT<0, i32>,
43                                    SDTCisVT<1, i32>, SDTCisVT<2, i32>,
44                                    SDTCisVT<3, i32>, SDTCisVT<4, i32>,
45                                    SDTCisVT<5, OtherVT>]>;
46
47 def SDT_ARMAnd     : SDTypeProfile<1, 2,
48                                    [SDTCisVT<0, i32>, SDTCisVT<1, i32>,
49                                     SDTCisVT<2, i32>]>;
50
51 def SDT_ARMCmp     : SDTypeProfile<0, 2, [SDTCisSameAs<0, 1>]>;
52
53 def SDT_ARMPICAdd  : SDTypeProfile<1, 2, [SDTCisSameAs<0, 1>,
54                                           SDTCisPtrTy<1>, SDTCisVT<2, i32>]>;
55
56 def SDT_ARMThreadPointer : SDTypeProfile<1, 0, [SDTCisPtrTy<0>]>;
57 def SDT_ARMEH_SJLJ_Setjmp : SDTypeProfile<1, 2, [SDTCisInt<0>, SDTCisPtrTy<1>,
58                                                  SDTCisInt<2>]>;
59 def SDT_ARMEH_SJLJ_Longjmp: SDTypeProfile<0, 2, [SDTCisPtrTy<0>, SDTCisInt<1>]>;
60
61 def SDT_ARMMEMBARRIER     : SDTypeProfile<0, 1, [SDTCisInt<0>]>;
62
63 def SDT_ARMPREFETCH : SDTypeProfile<0, 3, [SDTCisPtrTy<0>, SDTCisSameAs<1, 2>,
64                                            SDTCisInt<1>]>;
65
66 def SDT_ARMTCRET : SDTypeProfile<0, 1, [SDTCisPtrTy<0>]>;
67
68 def SDT_ARMBFI : SDTypeProfile<1, 3, [SDTCisVT<0, i32>, SDTCisVT<1, i32>,
69                                       SDTCisVT<2, i32>, SDTCisVT<3, i32>]>;
70
71 def SDTBinaryArithWithFlags : SDTypeProfile<2, 2,
72                                             [SDTCisSameAs<0, 2>,
73                                              SDTCisSameAs<0, 3>,
74                                              SDTCisInt<0>, SDTCisVT<1, i32>]>;
75
76 // SDTBinaryArithWithFlagsInOut - RES1, CPSR = op LHS, RHS, CPSR
77 def SDTBinaryArithWithFlagsInOut : SDTypeProfile<2, 3,
78                                             [SDTCisSameAs<0, 2>,
79                                              SDTCisSameAs<0, 3>,
80                                              SDTCisInt<0>,
81                                              SDTCisVT<1, i32>,
82                                              SDTCisVT<4, i32>]>;
83 // Node definitions.
84 def ARMWrapper       : SDNode<"ARMISD::Wrapper",     SDTIntUnaryOp>;
85 def ARMWrapperDYN    : SDNode<"ARMISD::WrapperDYN",  SDTIntUnaryOp>;
86 def ARMWrapperPIC    : SDNode<"ARMISD::WrapperPIC",  SDTIntUnaryOp>;
87 def ARMWrapperJT     : SDNode<"ARMISD::WrapperJT",   SDTIntBinOp>;
88
89 def ARMcallseq_start : SDNode<"ISD::CALLSEQ_START", SDT_ARMCallSeqStart,
90                               [SDNPHasChain, SDNPOutGlue]>;
91 def ARMcallseq_end   : SDNode<"ISD::CALLSEQ_END",   SDT_ARMCallSeqEnd,
92                               [SDNPHasChain, SDNPOptInGlue, SDNPOutGlue]>;
93
94 def ARMcall          : SDNode<"ARMISD::CALL", SDT_ARMcall,
95                               [SDNPHasChain, SDNPOptInGlue, SDNPOutGlue,
96                                SDNPVariadic]>;
97 def ARMcall_pred    : SDNode<"ARMISD::CALL_PRED", SDT_ARMcall,
98                               [SDNPHasChain, SDNPOptInGlue, SDNPOutGlue,
99                                SDNPVariadic]>;
100 def ARMcall_nolink   : SDNode<"ARMISD::CALL_NOLINK", SDT_ARMcall,
101                               [SDNPHasChain, SDNPOptInGlue, SDNPOutGlue,
102                                SDNPVariadic]>;
103
104 def ARMretflag       : SDNode<"ARMISD::RET_FLAG", SDTNone,
105                               [SDNPHasChain, SDNPOptInGlue]>;
106
107 def ARMcmov          : SDNode<"ARMISD::CMOV", SDT_ARMCMov,
108                               [SDNPInGlue]>;
109
110 def ARMbrcond        : SDNode<"ARMISD::BRCOND", SDT_ARMBrcond,
111                               [SDNPHasChain, SDNPInGlue, SDNPOutGlue]>;
112
113 def ARMbrjt          : SDNode<"ARMISD::BR_JT", SDT_ARMBrJT,
114                               [SDNPHasChain]>;
115 def ARMbr2jt         : SDNode<"ARMISD::BR2_JT", SDT_ARMBr2JT,
116                               [SDNPHasChain]>;
117
118 def ARMBcci64        : SDNode<"ARMISD::BCC_i64", SDT_ARMBCC_i64,
119                               [SDNPHasChain]>;
120
121 def ARMcmp           : SDNode<"ARMISD::CMP", SDT_ARMCmp,
122                               [SDNPOutGlue]>;
123
124 def ARMcmpZ          : SDNode<"ARMISD::CMPZ", SDT_ARMCmp,
125                               [SDNPOutGlue, SDNPCommutative]>;
126
127 def ARMpic_add       : SDNode<"ARMISD::PIC_ADD", SDT_ARMPICAdd>;
128
129 def ARMsrl_flag      : SDNode<"ARMISD::SRL_FLAG", SDTIntUnaryOp, [SDNPOutGlue]>;
130 def ARMsra_flag      : SDNode<"ARMISD::SRA_FLAG", SDTIntUnaryOp, [SDNPOutGlue]>;
131 def ARMrrx           : SDNode<"ARMISD::RRX"     , SDTIntUnaryOp, [SDNPInGlue ]>;
132
133 def ARMaddc          : SDNode<"ARMISD::ADDC",  SDTBinaryArithWithFlags,
134                               [SDNPCommutative]>;
135 def ARMsubc          : SDNode<"ARMISD::SUBC",  SDTBinaryArithWithFlags>;
136 def ARMadde          : SDNode<"ARMISD::ADDE",  SDTBinaryArithWithFlagsInOut>;
137 def ARMsube          : SDNode<"ARMISD::SUBE",  SDTBinaryArithWithFlagsInOut>;
138
139 def ARMthread_pointer: SDNode<"ARMISD::THREAD_POINTER", SDT_ARMThreadPointer>;
140 def ARMeh_sjlj_setjmp: SDNode<"ARMISD::EH_SJLJ_SETJMP",
141                                SDT_ARMEH_SJLJ_Setjmp, [SDNPHasChain]>;
142 def ARMeh_sjlj_longjmp: SDNode<"ARMISD::EH_SJLJ_LONGJMP",
143                                SDT_ARMEH_SJLJ_Longjmp, [SDNPHasChain]>;
144
145 def ARMMemBarrier     : SDNode<"ARMISD::MEMBARRIER", SDT_ARMMEMBARRIER,
146                                [SDNPHasChain]>;
147 def ARMMemBarrierMCR  : SDNode<"ARMISD::MEMBARRIER_MCR", SDT_ARMMEMBARRIER,
148                                [SDNPHasChain]>;
149 def ARMPreload        : SDNode<"ARMISD::PRELOAD", SDT_ARMPREFETCH,
150                                [SDNPHasChain, SDNPMayLoad, SDNPMayStore]>;
151
152 def ARMrbit          : SDNode<"ARMISD::RBIT", SDTIntUnaryOp>;
153
154 def ARMtcret         : SDNode<"ARMISD::TC_RETURN", SDT_ARMTCRET,
155                         [SDNPHasChain,  SDNPOptInGlue, SDNPVariadic]>;
156
157
158 def ARMbfi           : SDNode<"ARMISD::BFI", SDT_ARMBFI>;
159
160 //===----------------------------------------------------------------------===//
161 // ARM Instruction Predicate Definitions.
162 //
163 def HasV4T           : Predicate<"Subtarget->hasV4TOps()">,
164                                  AssemblerPredicate<"HasV4TOps">;
165 def NoV4T            : Predicate<"!Subtarget->hasV4TOps()">;
166 def HasV5T           : Predicate<"Subtarget->hasV5TOps()">;
167 def HasV5TE          : Predicate<"Subtarget->hasV5TEOps()">,
168                                  AssemblerPredicate<"HasV5TEOps">;
169 def HasV6            : Predicate<"Subtarget->hasV6Ops()">,
170                                  AssemblerPredicate<"HasV6Ops">;
171 def NoV6             : Predicate<"!Subtarget->hasV6Ops()">;
172 def HasV6T2          : Predicate<"Subtarget->hasV6T2Ops()">,
173                                  AssemblerPredicate<"HasV6T2Ops">;
174 def NoV6T2           : Predicate<"!Subtarget->hasV6T2Ops()">;
175 def HasV7            : Predicate<"Subtarget->hasV7Ops()">,
176                                  AssemblerPredicate<"HasV7Ops">;
177 def NoVFP            : Predicate<"!Subtarget->hasVFP2()">;
178 def HasVFP2          : Predicate<"Subtarget->hasVFP2()">,
179                                  AssemblerPredicate<"FeatureVFP2">;
180 def HasVFP3          : Predicate<"Subtarget->hasVFP3()">,
181                                  AssemblerPredicate<"FeatureVFP3">;
182 def HasVFP4          : Predicate<"Subtarget->hasVFP4()">,
183                                  AssemblerPredicate<"FeatureVFP4">;
184 def NoVFP4            : Predicate<"!Subtarget->hasVFP4()">;
185 def HasNEON          : Predicate<"Subtarget->hasNEON()">,
186                                  AssemblerPredicate<"FeatureNEON">;
187 def HasNEON2         : Predicate<"Subtarget->hasNEON2()">,
188                                  AssemblerPredicate<"FeatureNEON2">;
189 def NoNEON2          : Predicate<"!Subtarget->hasNEON2()">;
190 def HasFP16          : Predicate<"Subtarget->hasFP16()">,
191                                  AssemblerPredicate<"FeatureFP16">;
192 def HasDivide        : Predicate<"Subtarget->hasDivide()">,
193                                  AssemblerPredicate<"FeatureHWDiv">;
194 def HasT2ExtractPack : Predicate<"Subtarget->hasT2ExtractPack()">,
195                                  AssemblerPredicate<"FeatureT2XtPk">;
196 def HasThumb2DSP     : Predicate<"Subtarget->hasThumb2DSP()">,
197                                  AssemblerPredicate<"FeatureDSPThumb2">;
198 def HasDB            : Predicate<"Subtarget->hasDataBarrier()">,
199                                  AssemblerPredicate<"FeatureDB">;
200 def HasMP            : Predicate<"Subtarget->hasMPExtension()">,
201                                  AssemblerPredicate<"FeatureMP">;
202 def UseNEONForFP     : Predicate<"Subtarget->useNEONForSinglePrecisionFP()">;
203 def DontUseNEONForFP : Predicate<"!Subtarget->useNEONForSinglePrecisionFP()">;
204 def IsThumb          : Predicate<"Subtarget->isThumb()">,
205                                  AssemblerPredicate<"ModeThumb">;
206 def IsThumb1Only     : Predicate<"Subtarget->isThumb1Only()">;
207 def IsThumb2         : Predicate<"Subtarget->isThumb2()">,
208                                  AssemblerPredicate<"ModeThumb,FeatureThumb2">;
209 def IsMClass         : Predicate<"Subtarget->isMClass()">,
210                                  AssemblerPredicate<"FeatureMClass">;
211 def IsARClass        : Predicate<"!Subtarget->isMClass()">,
212                                  AssemblerPredicate<"!FeatureMClass">;
213 def IsARM            : Predicate<"!Subtarget->isThumb()">,
214                                  AssemblerPredicate<"!ModeThumb">;
215 def IsIOS            : Predicate<"Subtarget->isTargetIOS()">;
216 def IsNotIOS         : Predicate<"!Subtarget->isTargetIOS()">;
217 def IsNaCl           : Predicate<"Subtarget->isTargetNaCl()">;
218
219 // FIXME: Eventually this will be just "hasV6T2Ops".
220 def UseMovt          : Predicate<"Subtarget->useMovt()">;
221 def DontUseMovt      : Predicate<"!Subtarget->useMovt()">;
222 def UseFPVMLx        : Predicate<"Subtarget->useFPVMLx()">;
223
224 //===----------------------------------------------------------------------===//
225 // ARM Flag Definitions.
226
227 class RegConstraint<string C> {
228   string Constraints = C;
229 }
230
231 //===----------------------------------------------------------------------===//
232 //  ARM specific transformation functions and pattern fragments.
233 //
234
235 // so_imm_neg_XFORM - Return a so_imm value packed into the format described for
236 // so_imm_neg def below.
237 def so_imm_neg_XFORM : SDNodeXForm<imm, [{
238   return CurDAG->getTargetConstant(-(int)N->getZExtValue(), MVT::i32);
239 }]>;
240
241 // so_imm_not_XFORM - Return a so_imm value packed into the format described for
242 // so_imm_not def below.
243 def so_imm_not_XFORM : SDNodeXForm<imm, [{
244   return CurDAG->getTargetConstant(~(int)N->getZExtValue(), MVT::i32);
245 }]>;
246
247 /// imm16_31 predicate - True if the 32-bit immediate is in the range [16,31].
248 def imm16_31 : ImmLeaf<i32, [{
249   return (int32_t)Imm >= 16 && (int32_t)Imm < 32;
250 }]>;
251
252 def so_imm_neg_asmoperand : AsmOperandClass { let Name = "ARMSOImmNeg"; }
253 def so_imm_neg : Operand<i32>, PatLeaf<(imm), [{
254     int64_t Value = -(int)N->getZExtValue();
255     return Value && ARM_AM::getSOImmVal(Value) != -1;
256   }], so_imm_neg_XFORM> {
257   let ParserMatchClass = so_imm_neg_asmoperand;
258 }
259
260 // Note: this pattern doesn't require an encoder method and such, as it's
261 // only used on aliases (Pat<> and InstAlias<>). The actual encoding
262 // is handled by the destination instructions, which use so_imm.
263 def so_imm_not_asmoperand : AsmOperandClass { let Name = "ARMSOImmNot"; }
264 def so_imm_not : Operand<i32>, PatLeaf<(imm), [{
265     return ARM_AM::getSOImmVal(~(uint32_t)N->getZExtValue()) != -1;
266   }], so_imm_not_XFORM> {
267   let ParserMatchClass = so_imm_not_asmoperand;
268 }
269
270 // sext_16_node predicate - True if the SDNode is sign-extended 16 or more bits.
271 def sext_16_node : PatLeaf<(i32 GPR:$a), [{
272   return CurDAG->ComputeNumSignBits(SDValue(N,0)) >= 17;
273 }]>;
274
275 /// Split a 32-bit immediate into two 16 bit parts.
276 def hi16 : SDNodeXForm<imm, [{
277   return CurDAG->getTargetConstant((uint32_t)N->getZExtValue() >> 16, MVT::i32);
278 }]>;
279
280 def lo16AllZero : PatLeaf<(i32 imm), [{
281   // Returns true if all low 16-bits are 0.
282   return (((uint32_t)N->getZExtValue()) & 0xFFFFUL) == 0;
283 }], hi16>;
284
285 class BinOpWithFlagFrag<dag res> :
286       PatFrag<(ops node:$LHS, node:$RHS, node:$FLAG), res>;
287 class BinOpFrag<dag res> : PatFrag<(ops node:$LHS, node:$RHS), res>;
288 class UnOpFrag <dag res> : PatFrag<(ops node:$Src), res>;
289
290 // An 'and' node with a single use.
291 def and_su : PatFrag<(ops node:$lhs, node:$rhs), (and node:$lhs, node:$rhs), [{
292   return N->hasOneUse();
293 }]>;
294
295 // An 'xor' node with a single use.
296 def xor_su : PatFrag<(ops node:$lhs, node:$rhs), (xor node:$lhs, node:$rhs), [{
297   return N->hasOneUse();
298 }]>;
299
300 // An 'fmul' node with a single use.
301 def fmul_su : PatFrag<(ops node:$lhs, node:$rhs), (fmul node:$lhs, node:$rhs),[{
302   return N->hasOneUse();
303 }]>;
304
305 // An 'fadd' node which checks for single non-hazardous use.
306 def fadd_mlx : PatFrag<(ops node:$lhs, node:$rhs),(fadd node:$lhs, node:$rhs),[{
307   return hasNoVMLxHazardUse(N);
308 }]>;
309
310 // An 'fsub' node which checks for single non-hazardous use.
311 def fsub_mlx : PatFrag<(ops node:$lhs, node:$rhs),(fsub node:$lhs, node:$rhs),[{
312   return hasNoVMLxHazardUse(N);
313 }]>;
314
315 //===----------------------------------------------------------------------===//
316 // Operand Definitions.
317 //
318
319 // Immediate operands with a shared generic asm render method.
320 class ImmAsmOperand : AsmOperandClass { let RenderMethod = "addImmOperands"; }
321
322 // Branch target.
323 // FIXME: rename brtarget to t2_brtarget
324 def brtarget : Operand<OtherVT> {
325   let EncoderMethod = "getBranchTargetOpValue";
326   let OperandType = "OPERAND_PCREL";
327   let DecoderMethod = "DecodeT2BROperand";
328 }
329
330 // FIXME: get rid of this one?
331 def uncondbrtarget : Operand<OtherVT> {
332   let EncoderMethod = "getUnconditionalBranchTargetOpValue";
333   let OperandType = "OPERAND_PCREL";
334 }
335
336 // Branch target for ARM. Handles conditional/unconditional
337 def br_target : Operand<OtherVT> {
338   let EncoderMethod = "getARMBranchTargetOpValue";
339   let OperandType = "OPERAND_PCREL";
340 }
341
342 // Call target.
343 // FIXME: rename bltarget to t2_bl_target?
344 def bltarget : Operand<i32> {
345   // Encoded the same as branch targets.
346   let EncoderMethod = "getBranchTargetOpValue";
347   let OperandType = "OPERAND_PCREL";
348 }
349
350 // Call target for ARM. Handles conditional/unconditional
351 // FIXME: rename bl_target to t2_bltarget?
352 def bl_target : Operand<i32> {
353   let EncoderMethod = "getARMBLTargetOpValue";
354   let OperandType = "OPERAND_PCREL";
355 }
356
357 def blx_target : Operand<i32> {
358   let EncoderMethod = "getARMBLXTargetOpValue";
359   let OperandType = "OPERAND_PCREL";
360 }
361
362 // A list of registers separated by comma. Used by load/store multiple.
363 def RegListAsmOperand : AsmOperandClass { let Name = "RegList"; }
364 def reglist : Operand<i32> {
365   let EncoderMethod = "getRegisterListOpValue";
366   let ParserMatchClass = RegListAsmOperand;
367   let PrintMethod = "printRegisterList";
368   let DecoderMethod = "DecodeRegListOperand";
369 }
370
371 def DPRRegListAsmOperand : AsmOperandClass { let Name = "DPRRegList"; }
372 def dpr_reglist : Operand<i32> {
373   let EncoderMethod = "getRegisterListOpValue";
374   let ParserMatchClass = DPRRegListAsmOperand;
375   let PrintMethod = "printRegisterList";
376   let DecoderMethod = "DecodeDPRRegListOperand";
377 }
378
379 def SPRRegListAsmOperand : AsmOperandClass { let Name = "SPRRegList"; }
380 def spr_reglist : Operand<i32> {
381   let EncoderMethod = "getRegisterListOpValue";
382   let ParserMatchClass = SPRRegListAsmOperand;
383   let PrintMethod = "printRegisterList";
384   let DecoderMethod = "DecodeSPRRegListOperand";
385 }
386
387 // An operand for the CONSTPOOL_ENTRY pseudo-instruction.
388 def cpinst_operand : Operand<i32> {
389   let PrintMethod = "printCPInstOperand";
390 }
391
392 // Local PC labels.
393 def pclabel : Operand<i32> {
394   let PrintMethod = "printPCLabel";
395 }
396
397 // ADR instruction labels.
398 def adrlabel : Operand<i32> {
399   let EncoderMethod = "getAdrLabelOpValue";
400 }
401
402 def neon_vcvt_imm32 : Operand<i32> {
403   let EncoderMethod = "getNEONVcvtImm32OpValue";
404   let DecoderMethod = "DecodeVCVTImmOperand";
405 }
406
407 // rot_imm: An integer that encodes a rotate amount. Must be 8, 16, or 24.
408 def rot_imm_XFORM: SDNodeXForm<imm, [{
409   switch (N->getZExtValue()){
410   default: assert(0);
411   case 0:  return CurDAG->getTargetConstant(0, MVT::i32);
412   case 8:  return CurDAG->getTargetConstant(1, MVT::i32);
413   case 16: return CurDAG->getTargetConstant(2, MVT::i32);
414   case 24: return CurDAG->getTargetConstant(3, MVT::i32);
415   }
416 }]>;
417 def RotImmAsmOperand : AsmOperandClass {
418   let Name = "RotImm";
419   let ParserMethod = "parseRotImm";
420 }
421 def rot_imm : Operand<i32>, PatLeaf<(i32 imm), [{
422     int32_t v = N->getZExtValue();
423     return v == 8 || v == 16 || v == 24; }],
424     rot_imm_XFORM> {
425   let PrintMethod = "printRotImmOperand";
426   let ParserMatchClass = RotImmAsmOperand;
427 }
428
429 // shift_imm: An integer that encodes a shift amount and the type of shift
430 // (asr or lsl). The 6-bit immediate encodes as:
431 //    {5}     0 ==> lsl
432 //            1     asr
433 //    {4-0}   imm5 shift amount.
434 //            asr #32 encoded as imm5 == 0.
435 def ShifterImmAsmOperand : AsmOperandClass {
436   let Name = "ShifterImm";
437   let ParserMethod = "parseShifterImm";
438 }
439 def shift_imm : Operand<i32> {
440   let PrintMethod = "printShiftImmOperand";
441   let ParserMatchClass = ShifterImmAsmOperand;
442 }
443
444 // shifter_operand operands: so_reg_reg, so_reg_imm, and so_imm.
445 def ShiftedRegAsmOperand : AsmOperandClass { let Name = "RegShiftedReg"; }
446 def so_reg_reg : Operand<i32>,  // reg reg imm
447                  ComplexPattern<i32, 3, "SelectRegShifterOperand",
448                                 [shl, srl, sra, rotr]> {
449   let EncoderMethod = "getSORegRegOpValue";
450   let PrintMethod = "printSORegRegOperand";
451   let DecoderMethod = "DecodeSORegRegOperand";
452   let ParserMatchClass = ShiftedRegAsmOperand;
453   let MIOperandInfo = (ops GPRnopc, GPRnopc, i32imm);
454 }
455
456 def ShiftedImmAsmOperand : AsmOperandClass { let Name = "RegShiftedImm"; }
457 def so_reg_imm : Operand<i32>, // reg imm
458                  ComplexPattern<i32, 2, "SelectImmShifterOperand",
459                                 [shl, srl, sra, rotr]> {
460   let EncoderMethod = "getSORegImmOpValue";
461   let PrintMethod = "printSORegImmOperand";
462   let DecoderMethod = "DecodeSORegImmOperand";
463   let ParserMatchClass = ShiftedImmAsmOperand;
464   let MIOperandInfo = (ops GPR, i32imm);
465 }
466
467 // FIXME: Does this need to be distinct from so_reg?
468 def shift_so_reg_reg : Operand<i32>,    // reg reg imm
469                    ComplexPattern<i32, 3, "SelectShiftRegShifterOperand",
470                                   [shl,srl,sra,rotr]> {
471   let EncoderMethod = "getSORegRegOpValue";
472   let PrintMethod = "printSORegRegOperand";
473   let DecoderMethod = "DecodeSORegRegOperand";
474   let ParserMatchClass = ShiftedRegAsmOperand;
475   let MIOperandInfo = (ops GPR, GPR, i32imm);
476 }
477
478 // FIXME: Does this need to be distinct from so_reg?
479 def shift_so_reg_imm : Operand<i32>,    // reg reg imm
480                    ComplexPattern<i32, 2, "SelectShiftImmShifterOperand",
481                                   [shl,srl,sra,rotr]> {
482   let EncoderMethod = "getSORegImmOpValue";
483   let PrintMethod = "printSORegImmOperand";
484   let DecoderMethod = "DecodeSORegImmOperand";
485   let ParserMatchClass = ShiftedImmAsmOperand;
486   let MIOperandInfo = (ops GPR, i32imm);
487 }
488
489
490 // so_imm - Match a 32-bit shifter_operand immediate operand, which is an
491 // 8-bit immediate rotated by an arbitrary number of bits.
492 def SOImmAsmOperand: ImmAsmOperand { let Name = "ARMSOImm"; }
493 def so_imm : Operand<i32>, ImmLeaf<i32, [{
494     return ARM_AM::getSOImmVal(Imm) != -1;
495   }]> {
496   let EncoderMethod = "getSOImmOpValue";
497   let ParserMatchClass = SOImmAsmOperand;
498   let DecoderMethod = "DecodeSOImmOperand";
499 }
500
501 // Break so_imm's up into two pieces.  This handles immediates with up to 16
502 // bits set in them.  This uses so_imm2part to match and so_imm2part_[12] to
503 // get the first/second pieces.
504 def so_imm2part : PatLeaf<(imm), [{
505       return ARM_AM::isSOImmTwoPartVal((unsigned)N->getZExtValue());
506 }]>;
507
508 /// arm_i32imm - True for +V6T2, or true only if so_imm2part is true.
509 ///
510 def arm_i32imm : PatLeaf<(imm), [{
511   if (Subtarget->hasV6T2Ops())
512     return true;
513   return ARM_AM::isSOImmTwoPartVal((unsigned)N->getZExtValue());
514 }]>;
515
516 /// imm0_1 predicate - Immediate in the range [0,1].
517 def Imm0_1AsmOperand: ImmAsmOperand { let Name = "Imm0_1"; }
518 def imm0_1 : Operand<i32> { let ParserMatchClass = Imm0_1AsmOperand; }
519
520 /// imm0_3 predicate - Immediate in the range [0,3].
521 def Imm0_3AsmOperand: ImmAsmOperand { let Name = "Imm0_3"; }
522 def imm0_3 : Operand<i32> { let ParserMatchClass = Imm0_3AsmOperand; }
523
524 /// imm0_7 predicate - Immediate in the range [0,7].
525 def Imm0_7AsmOperand: ImmAsmOperand { let Name = "Imm0_7"; }
526 def imm0_7 : Operand<i32>, ImmLeaf<i32, [{
527   return Imm >= 0 && Imm < 8;
528 }]> {
529   let ParserMatchClass = Imm0_7AsmOperand;
530 }
531
532 /// imm8 predicate - Immediate is exactly 8.
533 def Imm8AsmOperand: ImmAsmOperand { let Name = "Imm8"; }
534 def imm8 : Operand<i32>, ImmLeaf<i32, [{ return Imm == 8; }]> {
535   let ParserMatchClass = Imm8AsmOperand;
536 }
537
538 /// imm16 predicate - Immediate is exactly 16.
539 def Imm16AsmOperand: ImmAsmOperand { let Name = "Imm16"; }
540 def imm16 : Operand<i32>, ImmLeaf<i32, [{ return Imm == 16; }]> {
541   let ParserMatchClass = Imm16AsmOperand;
542 }
543
544 /// imm32 predicate - Immediate is exactly 32.
545 def Imm32AsmOperand: ImmAsmOperand { let Name = "Imm32"; }
546 def imm32 : Operand<i32>, ImmLeaf<i32, [{ return Imm == 32; }]> {
547   let ParserMatchClass = Imm32AsmOperand;
548 }
549
550 /// imm1_7 predicate - Immediate in the range [1,7].
551 def Imm1_7AsmOperand: ImmAsmOperand { let Name = "Imm1_7"; }
552 def imm1_7 : Operand<i32>, ImmLeaf<i32, [{ return Imm > 0 && Imm < 8; }]> {
553   let ParserMatchClass = Imm1_7AsmOperand;
554 }
555
556 /// imm1_15 predicate - Immediate in the range [1,15].
557 def Imm1_15AsmOperand: ImmAsmOperand { let Name = "Imm1_15"; }
558 def imm1_15 : Operand<i32>, ImmLeaf<i32, [{ return Imm > 0 && Imm < 16; }]> {
559   let ParserMatchClass = Imm1_15AsmOperand;
560 }
561
562 /// imm1_31 predicate - Immediate in the range [1,31].
563 def Imm1_31AsmOperand: ImmAsmOperand { let Name = "Imm1_31"; }
564 def imm1_31 : Operand<i32>, ImmLeaf<i32, [{ return Imm > 0 && Imm < 32; }]> {
565   let ParserMatchClass = Imm1_31AsmOperand;
566 }
567
568 /// imm0_15 predicate - Immediate in the range [0,15].
569 def Imm0_15AsmOperand: ImmAsmOperand { let Name = "Imm0_15"; }
570 def imm0_15 : Operand<i32>, ImmLeaf<i32, [{
571   return Imm >= 0 && Imm < 16;
572 }]> {
573   let ParserMatchClass = Imm0_15AsmOperand;
574 }
575
576 /// imm0_31 predicate - True if the 32-bit immediate is in the range [0,31].
577 def Imm0_31AsmOperand: ImmAsmOperand { let Name = "Imm0_31"; }
578 def imm0_31 : Operand<i32>, ImmLeaf<i32, [{
579   return Imm >= 0 && Imm < 32;
580 }]> {
581   let ParserMatchClass = Imm0_31AsmOperand;
582 }
583
584 /// imm0_32 predicate - True if the 32-bit immediate is in the range [0,32].
585 def Imm0_32AsmOperand: ImmAsmOperand { let Name = "Imm0_32"; }
586 def imm0_32 : Operand<i32>, ImmLeaf<i32, [{
587   return Imm >= 0 && Imm < 32;
588 }]> {
589   let ParserMatchClass = Imm0_32AsmOperand;
590 }
591
592 /// imm0_63 predicate - True if the 32-bit immediate is in the range [0,63].
593 def Imm0_63AsmOperand: ImmAsmOperand { let Name = "Imm0_63"; }
594 def imm0_63 : Operand<i32>, ImmLeaf<i32, [{
595   return Imm >= 0 && Imm < 64;
596 }]> {
597   let ParserMatchClass = Imm0_63AsmOperand;
598 }
599
600 /// imm0_255 predicate - Immediate in the range [0,255].
601 def Imm0_255AsmOperand : ImmAsmOperand { let Name = "Imm0_255"; }
602 def imm0_255 : Operand<i32>, ImmLeaf<i32, [{ return Imm >= 0 && Imm < 256; }]> {
603   let ParserMatchClass = Imm0_255AsmOperand;
604 }
605
606 /// imm0_65535 - An immediate is in the range [0.65535].
607 def Imm0_65535AsmOperand: ImmAsmOperand { let Name = "Imm0_65535"; }
608 def imm0_65535 : Operand<i32>, ImmLeaf<i32, [{
609   return Imm >= 0 && Imm < 65536;
610 }]> {
611   let ParserMatchClass = Imm0_65535AsmOperand;
612 }
613
614 // imm0_65535_expr - For movt/movw - 16-bit immediate that can also reference
615 // a relocatable expression.
616 //
617 // FIXME: This really needs a Thumb version separate from the ARM version.
618 // While the range is the same, and can thus use the same match class,
619 // the encoding is different so it should have a different encoder method.
620 def Imm0_65535ExprAsmOperand: ImmAsmOperand { let Name = "Imm0_65535Expr"; }
621 def imm0_65535_expr : Operand<i32> {
622   let EncoderMethod = "getHiLo16ImmOpValue";
623   let ParserMatchClass = Imm0_65535ExprAsmOperand;
624 }
625
626 /// imm24b - True if the 32-bit immediate is encodable in 24 bits.
627 def Imm24bitAsmOperand: ImmAsmOperand { let Name = "Imm24bit"; }
628 def imm24b : Operand<i32>, ImmLeaf<i32, [{
629   return Imm >= 0 && Imm <= 0xffffff;
630 }]> {
631   let ParserMatchClass = Imm24bitAsmOperand;
632 }
633
634
635 /// bf_inv_mask_imm predicate - An AND mask to clear an arbitrary width bitfield
636 /// e.g., 0xf000ffff
637 def BitfieldAsmOperand : AsmOperandClass {
638   let Name = "Bitfield";
639   let ParserMethod = "parseBitfield";
640 }
641
642 def bf_inv_mask_imm : Operand<i32>,
643                       PatLeaf<(imm), [{
644   return ARM::isBitFieldInvertedMask(N->getZExtValue());
645 }] > {
646   let EncoderMethod = "getBitfieldInvertedMaskOpValue";
647   let PrintMethod = "printBitfieldInvMaskImmOperand";
648   let DecoderMethod = "DecodeBitfieldMaskOperand";
649   let ParserMatchClass = BitfieldAsmOperand;
650 }
651
652 def imm1_32_XFORM: SDNodeXForm<imm, [{
653   return CurDAG->getTargetConstant((int)N->getZExtValue() - 1, MVT::i32);
654 }]>;
655 def Imm1_32AsmOperand: AsmOperandClass { let Name = "Imm1_32"; }
656 def imm1_32 : Operand<i32>, PatLeaf<(imm), [{
657    uint64_t Imm = N->getZExtValue();
658    return Imm > 0 && Imm <= 32;
659  }],
660     imm1_32_XFORM> {
661   let PrintMethod = "printImmPlusOneOperand";
662   let ParserMatchClass = Imm1_32AsmOperand;
663 }
664
665 def imm1_16_XFORM: SDNodeXForm<imm, [{
666   return CurDAG->getTargetConstant((int)N->getZExtValue() - 1, MVT::i32);
667 }]>;
668 def Imm1_16AsmOperand: AsmOperandClass { let Name = "Imm1_16"; }
669 def imm1_16 : Operand<i32>, PatLeaf<(imm), [{ return Imm > 0 && Imm <= 16; }],
670     imm1_16_XFORM> {
671   let PrintMethod = "printImmPlusOneOperand";
672   let ParserMatchClass = Imm1_16AsmOperand;
673 }
674
675 // Define ARM specific addressing modes.
676 // addrmode_imm12 := reg +/- imm12
677 //
678 def MemImm12OffsetAsmOperand : AsmOperandClass { let Name = "MemImm12Offset"; }
679 def addrmode_imm12 : Operand<i32>,
680                      ComplexPattern<i32, 2, "SelectAddrModeImm12", []> {
681   // 12-bit immediate operand. Note that instructions using this encode
682   // #0 and #-0 differently. We flag #-0 as the magic value INT32_MIN. All other
683   // immediate values are as normal.
684
685   let EncoderMethod = "getAddrModeImm12OpValue";
686   let PrintMethod = "printAddrModeImm12Operand";
687   let DecoderMethod = "DecodeAddrModeImm12Operand";
688   let ParserMatchClass = MemImm12OffsetAsmOperand;
689   let MIOperandInfo = (ops GPR:$base, i32imm:$offsimm);
690 }
691 // ldst_so_reg := reg +/- reg shop imm
692 //
693 def MemRegOffsetAsmOperand : AsmOperandClass { let Name = "MemRegOffset"; }
694 def ldst_so_reg : Operand<i32>,
695                   ComplexPattern<i32, 3, "SelectLdStSOReg", []> {
696   let EncoderMethod = "getLdStSORegOpValue";
697   // FIXME: Simplify the printer
698   let PrintMethod = "printAddrMode2Operand";
699   let DecoderMethod = "DecodeSORegMemOperand";
700   let ParserMatchClass = MemRegOffsetAsmOperand;
701   let MIOperandInfo = (ops GPR:$base, GPRnopc:$offsreg, i32imm:$shift);
702 }
703
704 // postidx_imm8 := +/- [0,255]
705 //
706 // 9 bit value:
707 //  {8}       1 is imm8 is non-negative. 0 otherwise.
708 //  {7-0}     [0,255] imm8 value.
709 def PostIdxImm8AsmOperand : AsmOperandClass { let Name = "PostIdxImm8"; }
710 def postidx_imm8 : Operand<i32> {
711   let PrintMethod = "printPostIdxImm8Operand";
712   let ParserMatchClass = PostIdxImm8AsmOperand;
713   let MIOperandInfo = (ops i32imm);
714 }
715
716 // postidx_imm8s4 := +/- [0,1020]
717 //
718 // 9 bit value:
719 //  {8}       1 is imm8 is non-negative. 0 otherwise.
720 //  {7-0}     [0,255] imm8 value, scaled by 4.
721 def PostIdxImm8s4AsmOperand : AsmOperandClass { let Name = "PostIdxImm8s4"; }
722 def postidx_imm8s4 : Operand<i32> {
723   let PrintMethod = "printPostIdxImm8s4Operand";
724   let ParserMatchClass = PostIdxImm8s4AsmOperand;
725   let MIOperandInfo = (ops i32imm);
726 }
727
728
729 // postidx_reg := +/- reg
730 //
731 def PostIdxRegAsmOperand : AsmOperandClass {
732   let Name = "PostIdxReg";
733   let ParserMethod = "parsePostIdxReg";
734 }
735 def postidx_reg : Operand<i32> {
736   let EncoderMethod = "getPostIdxRegOpValue";
737   let DecoderMethod = "DecodePostIdxReg";
738   let PrintMethod = "printPostIdxRegOperand";
739   let ParserMatchClass = PostIdxRegAsmOperand;
740   let MIOperandInfo = (ops GPRnopc, i32imm);
741 }
742
743
744 // addrmode2 := reg +/- imm12
745 //           := reg +/- reg shop imm
746 //
747 // FIXME: addrmode2 should be refactored the rest of the way to always
748 // use explicit imm vs. reg versions above (addrmode_imm12 and ldst_so_reg).
749 def AddrMode2AsmOperand : AsmOperandClass { let Name = "AddrMode2"; }
750 def addrmode2 : Operand<i32>,
751                 ComplexPattern<i32, 3, "SelectAddrMode2", []> {
752   let EncoderMethod = "getAddrMode2OpValue";
753   let PrintMethod = "printAddrMode2Operand";
754   let ParserMatchClass = AddrMode2AsmOperand;
755   let MIOperandInfo = (ops GPR:$base, GPR:$offsreg, i32imm:$offsimm);
756 }
757
758 def PostIdxRegShiftedAsmOperand : AsmOperandClass {
759   let Name = "PostIdxRegShifted";
760   let ParserMethod = "parsePostIdxReg";
761 }
762 def am2offset_reg : Operand<i32>,
763                 ComplexPattern<i32, 2, "SelectAddrMode2OffsetReg",
764                 [], [SDNPWantRoot]> {
765   let EncoderMethod = "getAddrMode2OffsetOpValue";
766   let PrintMethod = "printAddrMode2OffsetOperand";
767   // When using this for assembly, it's always as a post-index offset.
768   let ParserMatchClass = PostIdxRegShiftedAsmOperand;
769   let MIOperandInfo = (ops GPRnopc, i32imm);
770 }
771
772 // FIXME: am2offset_imm should only need the immediate, not the GPR. Having
773 // the GPR is purely vestigal at this point.
774 def AM2OffsetImmAsmOperand : AsmOperandClass { let Name = "AM2OffsetImm"; }
775 def am2offset_imm : Operand<i32>,
776                 ComplexPattern<i32, 2, "SelectAddrMode2OffsetImm",
777                 [], [SDNPWantRoot]> {
778   let EncoderMethod = "getAddrMode2OffsetOpValue";
779   let PrintMethod = "printAddrMode2OffsetOperand";
780   let ParserMatchClass = AM2OffsetImmAsmOperand;
781   let MIOperandInfo = (ops GPRnopc, i32imm);
782 }
783
784
785 // addrmode3 := reg +/- reg
786 // addrmode3 := reg +/- imm8
787 //
788 // FIXME: split into imm vs. reg versions.
789 def AddrMode3AsmOperand : AsmOperandClass { let Name = "AddrMode3"; }
790 def addrmode3 : Operand<i32>,
791                 ComplexPattern<i32, 3, "SelectAddrMode3", []> {
792   let EncoderMethod = "getAddrMode3OpValue";
793   let PrintMethod = "printAddrMode3Operand";
794   let ParserMatchClass = AddrMode3AsmOperand;
795   let MIOperandInfo = (ops GPR:$base, GPR:$offsreg, i32imm:$offsimm);
796 }
797
798 // FIXME: split into imm vs. reg versions.
799 // FIXME: parser method to handle +/- register.
800 def AM3OffsetAsmOperand : AsmOperandClass {
801   let Name = "AM3Offset";
802   let ParserMethod = "parseAM3Offset";
803 }
804 def am3offset : Operand<i32>,
805                 ComplexPattern<i32, 2, "SelectAddrMode3Offset",
806                                [], [SDNPWantRoot]> {
807   let EncoderMethod = "getAddrMode3OffsetOpValue";
808   let PrintMethod = "printAddrMode3OffsetOperand";
809   let ParserMatchClass = AM3OffsetAsmOperand;
810   let MIOperandInfo = (ops GPR, i32imm);
811 }
812
813 // ldstm_mode := {ia, ib, da, db}
814 //
815 def ldstm_mode : OptionalDefOperand<OtherVT, (ops i32), (ops (i32 1))> {
816   let EncoderMethod = "getLdStmModeOpValue";
817   let PrintMethod = "printLdStmModeOperand";
818 }
819
820 // addrmode5 := reg +/- imm8*4
821 //
822 def AddrMode5AsmOperand : AsmOperandClass { let Name = "AddrMode5"; }
823 def addrmode5 : Operand<i32>,
824                 ComplexPattern<i32, 2, "SelectAddrMode5", []> {
825   let PrintMethod = "printAddrMode5Operand";
826   let EncoderMethod = "getAddrMode5OpValue";
827   let DecoderMethod = "DecodeAddrMode5Operand";
828   let ParserMatchClass = AddrMode5AsmOperand;
829   let MIOperandInfo = (ops GPR:$base, i32imm);
830 }
831
832 // addrmode6 := reg with optional alignment
833 //
834 def AddrMode6AsmOperand : AsmOperandClass { let Name = "AlignedMemory"; }
835 def addrmode6 : Operand<i32>,
836                 ComplexPattern<i32, 2, "SelectAddrMode6", [], [SDNPWantParent]>{
837   let PrintMethod = "printAddrMode6Operand";
838   let MIOperandInfo = (ops GPR:$addr, i32imm:$align);
839   let EncoderMethod = "getAddrMode6AddressOpValue";
840   let DecoderMethod = "DecodeAddrMode6Operand";
841   let ParserMatchClass = AddrMode6AsmOperand;
842 }
843
844 def am6offset : Operand<i32>,
845                 ComplexPattern<i32, 1, "SelectAddrMode6Offset",
846                                [], [SDNPWantRoot]> {
847   let PrintMethod = "printAddrMode6OffsetOperand";
848   let MIOperandInfo = (ops GPR);
849   let EncoderMethod = "getAddrMode6OffsetOpValue";
850   let DecoderMethod = "DecodeGPRRegisterClass";
851 }
852
853 // Special version of addrmode6 to handle alignment encoding for VST1/VLD1
854 // (single element from one lane) for size 32.
855 def addrmode6oneL32 : Operand<i32>,
856                 ComplexPattern<i32, 2, "SelectAddrMode6", [], [SDNPWantParent]>{
857   let PrintMethod = "printAddrMode6Operand";
858   let MIOperandInfo = (ops GPR:$addr, i32imm);
859   let EncoderMethod = "getAddrMode6OneLane32AddressOpValue";
860 }
861
862 // Special version of addrmode6 to handle alignment encoding for VLD-dup
863 // instructions, specifically VLD4-dup.
864 def addrmode6dup : Operand<i32>,
865                 ComplexPattern<i32, 2, "SelectAddrMode6", [], [SDNPWantParent]>{
866   let PrintMethod = "printAddrMode6Operand";
867   let MIOperandInfo = (ops GPR:$addr, i32imm);
868   let EncoderMethod = "getAddrMode6DupAddressOpValue";
869   // FIXME: This is close, but not quite right. The alignment specifier is
870   // different.
871   let ParserMatchClass = AddrMode6AsmOperand;
872 }
873
874 // addrmodepc := pc + reg
875 //
876 def addrmodepc : Operand<i32>,
877                  ComplexPattern<i32, 2, "SelectAddrModePC", []> {
878   let PrintMethod = "printAddrModePCOperand";
879   let MIOperandInfo = (ops GPR, i32imm);
880 }
881
882 // addr_offset_none := reg
883 //
884 def MemNoOffsetAsmOperand : AsmOperandClass { let Name = "MemNoOffset"; }
885 def addr_offset_none : Operand<i32>,
886                        ComplexPattern<i32, 1, "SelectAddrOffsetNone", []> {
887   let PrintMethod = "printAddrMode7Operand";
888   let DecoderMethod = "DecodeAddrMode7Operand";
889   let ParserMatchClass = MemNoOffsetAsmOperand;
890   let MIOperandInfo = (ops GPR:$base);
891 }
892
893 def nohash_imm : Operand<i32> {
894   let PrintMethod = "printNoHashImmediate";
895 }
896
897 def CoprocNumAsmOperand : AsmOperandClass {
898   let Name = "CoprocNum";
899   let ParserMethod = "parseCoprocNumOperand";
900 }
901 def p_imm : Operand<i32> {
902   let PrintMethod = "printPImmediate";
903   let ParserMatchClass = CoprocNumAsmOperand;
904   let DecoderMethod = "DecodeCoprocessor";
905 }
906
907 def CoprocRegAsmOperand : AsmOperandClass {
908   let Name = "CoprocReg";
909   let ParserMethod = "parseCoprocRegOperand";
910 }
911 def c_imm : Operand<i32> {
912   let PrintMethod = "printCImmediate";
913   let ParserMatchClass = CoprocRegAsmOperand;
914 }
915 def CoprocOptionAsmOperand : AsmOperandClass {
916   let Name = "CoprocOption";
917   let ParserMethod = "parseCoprocOptionOperand";
918 }
919 def coproc_option_imm : Operand<i32> {
920   let PrintMethod = "printCoprocOptionImm";
921   let ParserMatchClass = CoprocOptionAsmOperand;
922 }
923
924 //===----------------------------------------------------------------------===//
925
926 include "ARMInstrFormats.td"
927
928 //===----------------------------------------------------------------------===//
929 // Multiclass helpers...
930 //
931
932 /// AsI1_bin_irs - Defines a set of (op r, {so_imm|r|so_reg}) patterns for a
933 /// binop that produces a value.
934 multiclass AsI1_bin_irs<bits<4> opcod, string opc,
935                      InstrItinClass iii, InstrItinClass iir, InstrItinClass iis,
936                         PatFrag opnode, string baseOpc, bit Commutable = 0> {
937   // The register-immediate version is re-materializable. This is useful
938   // in particular for taking the address of a local.
939   let isReMaterializable = 1 in {
940   def ri : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm), DPFrm,
941                iii, opc, "\t$Rd, $Rn, $imm",
942                [(set GPR:$Rd, (opnode GPR:$Rn, so_imm:$imm))]> {
943     bits<4> Rd;
944     bits<4> Rn;
945     bits<12> imm;
946     let Inst{25} = 1;
947     let Inst{19-16} = Rn;
948     let Inst{15-12} = Rd;
949     let Inst{11-0} = imm;
950   }
951   }
952   def rr : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm), DPFrm,
953                iir, opc, "\t$Rd, $Rn, $Rm",
954                [(set GPR:$Rd, (opnode GPR:$Rn, GPR:$Rm))]> {
955     bits<4> Rd;
956     bits<4> Rn;
957     bits<4> Rm;
958     let Inst{25} = 0;
959     let isCommutable = Commutable;
960     let Inst{19-16} = Rn;
961     let Inst{15-12} = Rd;
962     let Inst{11-4} = 0b00000000;
963     let Inst{3-0} = Rm;
964   }
965
966   def rsi : AsI1<opcod, (outs GPR:$Rd),
967                (ins GPR:$Rn, so_reg_imm:$shift), DPSoRegImmFrm,
968                iis, opc, "\t$Rd, $Rn, $shift",
969                [(set GPR:$Rd, (opnode GPR:$Rn, so_reg_imm:$shift))]> {
970     bits<4> Rd;
971     bits<4> Rn;
972     bits<12> shift;
973     let Inst{25} = 0;
974     let Inst{19-16} = Rn;
975     let Inst{15-12} = Rd;
976     let Inst{11-5} = shift{11-5};
977     let Inst{4} = 0;
978     let Inst{3-0} = shift{3-0};
979   }
980
981   def rsr : AsI1<opcod, (outs GPR:$Rd),
982                (ins GPR:$Rn, so_reg_reg:$shift), DPSoRegRegFrm,
983                iis, opc, "\t$Rd, $Rn, $shift",
984                [(set GPR:$Rd, (opnode GPR:$Rn, so_reg_reg:$shift))]> {
985     bits<4> Rd;
986     bits<4> Rn;
987     bits<12> shift;
988     let Inst{25} = 0;
989     let Inst{19-16} = Rn;
990     let Inst{15-12} = Rd;
991     let Inst{11-8} = shift{11-8};
992     let Inst{7} = 0;
993     let Inst{6-5} = shift{6-5};
994     let Inst{4} = 1;
995     let Inst{3-0} = shift{3-0};
996   }
997
998   // Assembly aliases for optional destination operand when it's the same
999   // as the source operand.
1000   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $imm"),
1001      (!cast<Instruction>(!strconcat(baseOpc, "ri")) GPR:$Rdn, GPR:$Rdn,
1002                                                     so_imm:$imm, pred:$p,
1003                                                     cc_out:$s)>,
1004      Requires<[IsARM]>;
1005   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $Rm"),
1006      (!cast<Instruction>(!strconcat(baseOpc, "rr")) GPR:$Rdn, GPR:$Rdn,
1007                                                     GPR:$Rm, pred:$p,
1008                                                     cc_out:$s)>,
1009      Requires<[IsARM]>;
1010   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1011      (!cast<Instruction>(!strconcat(baseOpc, "rsi")) GPR:$Rdn, GPR:$Rdn,
1012                                                     so_reg_imm:$shift, pred:$p,
1013                                                     cc_out:$s)>,
1014      Requires<[IsARM]>;
1015   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1016      (!cast<Instruction>(!strconcat(baseOpc, "rsr")) GPR:$Rdn, GPR:$Rdn,
1017                                                     so_reg_reg:$shift, pred:$p,
1018                                                     cc_out:$s)>,
1019      Requires<[IsARM]>;
1020
1021 }
1022
1023 /// AsI1_rbin_irs - Same as AsI1_bin_irs except the order of operands are
1024 /// reversed.  The 'rr' form is only defined for the disassembler; for codegen
1025 /// it is equivalent to the AsI1_bin_irs counterpart.
1026 multiclass AsI1_rbin_irs<bits<4> opcod, string opc,
1027                      InstrItinClass iii, InstrItinClass iir, InstrItinClass iis,
1028                         PatFrag opnode, string baseOpc, bit Commutable = 0> {
1029   // The register-immediate version is re-materializable. This is useful
1030   // in particular for taking the address of a local.
1031   let isReMaterializable = 1 in {
1032   def ri : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm), DPFrm,
1033                iii, opc, "\t$Rd, $Rn, $imm",
1034                [(set GPR:$Rd, (opnode so_imm:$imm, GPR:$Rn))]> {
1035     bits<4> Rd;
1036     bits<4> Rn;
1037     bits<12> imm;
1038     let Inst{25} = 1;
1039     let Inst{19-16} = Rn;
1040     let Inst{15-12} = Rd;
1041     let Inst{11-0} = imm;
1042   }
1043   }
1044   def rr : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm), DPFrm,
1045                iir, opc, "\t$Rd, $Rn, $Rm",
1046                [/* pattern left blank */]> {
1047     bits<4> Rd;
1048     bits<4> Rn;
1049     bits<4> Rm;
1050     let Inst{11-4} = 0b00000000;
1051     let Inst{25} = 0;
1052     let Inst{3-0} = Rm;
1053     let Inst{15-12} = Rd;
1054     let Inst{19-16} = Rn;
1055   }
1056
1057   def rsi : AsI1<opcod, (outs GPR:$Rd),
1058                (ins GPR:$Rn, so_reg_imm:$shift), DPSoRegImmFrm,
1059                iis, opc, "\t$Rd, $Rn, $shift",
1060                [(set GPR:$Rd, (opnode so_reg_imm:$shift, GPR:$Rn))]> {
1061     bits<4> Rd;
1062     bits<4> Rn;
1063     bits<12> shift;
1064     let Inst{25} = 0;
1065     let Inst{19-16} = Rn;
1066     let Inst{15-12} = Rd;
1067     let Inst{11-5} = shift{11-5};
1068     let Inst{4} = 0;
1069     let Inst{3-0} = shift{3-0};
1070   }
1071
1072   def rsr : AsI1<opcod, (outs GPR:$Rd),
1073                (ins GPR:$Rn, so_reg_reg:$shift), DPSoRegRegFrm,
1074                iis, opc, "\t$Rd, $Rn, $shift",
1075                [(set GPR:$Rd, (opnode so_reg_reg:$shift, GPR:$Rn))]> {
1076     bits<4> Rd;
1077     bits<4> Rn;
1078     bits<12> shift;
1079     let Inst{25} = 0;
1080     let Inst{19-16} = Rn;
1081     let Inst{15-12} = Rd;
1082     let Inst{11-8} = shift{11-8};
1083     let Inst{7} = 0;
1084     let Inst{6-5} = shift{6-5};
1085     let Inst{4} = 1;
1086     let Inst{3-0} = shift{3-0};
1087   }
1088
1089   // Assembly aliases for optional destination operand when it's the same
1090   // as the source operand.
1091   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $imm"),
1092      (!cast<Instruction>(!strconcat(baseOpc, "ri")) GPR:$Rdn, GPR:$Rdn,
1093                                                     so_imm:$imm, pred:$p,
1094                                                     cc_out:$s)>,
1095      Requires<[IsARM]>;
1096   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $Rm"),
1097      (!cast<Instruction>(!strconcat(baseOpc, "rr")) GPR:$Rdn, GPR:$Rdn,
1098                                                     GPR:$Rm, pred:$p,
1099                                                     cc_out:$s)>,
1100      Requires<[IsARM]>;
1101   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1102      (!cast<Instruction>(!strconcat(baseOpc, "rsi")) GPR:$Rdn, GPR:$Rdn,
1103                                                     so_reg_imm:$shift, pred:$p,
1104                                                     cc_out:$s)>,
1105      Requires<[IsARM]>;
1106   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1107      (!cast<Instruction>(!strconcat(baseOpc, "rsr")) GPR:$Rdn, GPR:$Rdn,
1108                                                     so_reg_reg:$shift, pred:$p,
1109                                                     cc_out:$s)>,
1110      Requires<[IsARM]>;
1111
1112 }
1113
1114 /// AsI1_bin_s_irs - Same as AsI1_bin_irs except it sets the 's' bit by default.
1115 ///
1116 /// These opcodes will be converted to the real non-S opcodes by
1117 /// AdjustInstrPostInstrSelection after giving them an optional CPSR operand.
1118 let hasPostISelHook = 1, Defs = [CPSR] in {
1119 multiclass AsI1_bin_s_irs<InstrItinClass iii, InstrItinClass iir,
1120                           InstrItinClass iis, PatFrag opnode,
1121                           bit Commutable = 0> {
1122   def ri : ARMPseudoInst<(outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm, pred:$p),
1123                          4, iii,
1124                          [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, so_imm:$imm))]>;
1125
1126   def rr : ARMPseudoInst<(outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm, pred:$p),
1127                          4, iir,
1128                          [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, GPR:$Rm))]> {
1129     let isCommutable = Commutable;
1130   }
1131   def rsi : ARMPseudoInst<(outs GPR:$Rd),
1132                           (ins GPR:$Rn, so_reg_imm:$shift, pred:$p),
1133                           4, iis,
1134                           [(set GPR:$Rd, CPSR, (opnode GPR:$Rn,
1135                                                 so_reg_imm:$shift))]>;
1136
1137   def rsr : ARMPseudoInst<(outs GPR:$Rd),
1138                           (ins GPR:$Rn, so_reg_reg:$shift, pred:$p),
1139                           4, iis,
1140                           [(set GPR:$Rd, CPSR, (opnode GPR:$Rn,
1141                                                 so_reg_reg:$shift))]>;
1142 }
1143 }
1144
1145 /// AsI1_rbin_s_is - Same as AsI1_bin_s_irs, except selection DAG
1146 /// operands are reversed.
1147 let hasPostISelHook = 1, Defs = [CPSR] in {
1148 multiclass AsI1_rbin_s_is<InstrItinClass iii, InstrItinClass iir,
1149                           InstrItinClass iis, PatFrag opnode,
1150                           bit Commutable = 0> {
1151   def ri : ARMPseudoInst<(outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm, pred:$p),
1152                          4, iii,
1153                          [(set GPR:$Rd, CPSR, (opnode so_imm:$imm, GPR:$Rn))]>;
1154
1155   def rsi : ARMPseudoInst<(outs GPR:$Rd),
1156                           (ins GPR:$Rn, so_reg_imm:$shift, pred:$p),
1157                           4, iis,
1158                           [(set GPR:$Rd, CPSR, (opnode so_reg_imm:$shift,
1159                                              GPR:$Rn))]>;
1160
1161   def rsr : ARMPseudoInst<(outs GPR:$Rd),
1162                           (ins GPR:$Rn, so_reg_reg:$shift, pred:$p),
1163                           4, iis,
1164                           [(set GPR:$Rd, CPSR, (opnode so_reg_reg:$shift,
1165                                              GPR:$Rn))]>;
1166 }
1167 }
1168
1169 /// AI1_cmp_irs - Defines a set of (op r, {so_imm|r|so_reg}) cmp / test
1170 /// patterns. Similar to AsI1_bin_irs except the instruction does not produce
1171 /// a explicit result, only implicitly set CPSR.
1172 let isCompare = 1, Defs = [CPSR] in {
1173 multiclass AI1_cmp_irs<bits<4> opcod, string opc,
1174                      InstrItinClass iii, InstrItinClass iir, InstrItinClass iis,
1175                        PatFrag opnode, bit Commutable = 0> {
1176   def ri : AI1<opcod, (outs), (ins GPR:$Rn, so_imm:$imm), DPFrm, iii,
1177                opc, "\t$Rn, $imm",
1178                [(opnode GPR:$Rn, so_imm:$imm)]> {
1179     bits<4> Rn;
1180     bits<12> imm;
1181     let Inst{25} = 1;
1182     let Inst{20} = 1;
1183     let Inst{19-16} = Rn;
1184     let Inst{15-12} = 0b0000;
1185     let Inst{11-0} = imm;
1186   }
1187   def rr : AI1<opcod, (outs), (ins GPR:$Rn, GPR:$Rm), DPFrm, iir,
1188                opc, "\t$Rn, $Rm",
1189                [(opnode GPR:$Rn, GPR:$Rm)]> {
1190     bits<4> Rn;
1191     bits<4> Rm;
1192     let isCommutable = Commutable;
1193     let Inst{25} = 0;
1194     let Inst{20} = 1;
1195     let Inst{19-16} = Rn;
1196     let Inst{15-12} = 0b0000;
1197     let Inst{11-4} = 0b00000000;
1198     let Inst{3-0} = Rm;
1199   }
1200   def rsi : AI1<opcod, (outs),
1201                (ins GPR:$Rn, so_reg_imm:$shift), DPSoRegImmFrm, iis,
1202                opc, "\t$Rn, $shift",
1203                [(opnode GPR:$Rn, so_reg_imm:$shift)]> {
1204     bits<4> Rn;
1205     bits<12> shift;
1206     let Inst{25} = 0;
1207     let Inst{20} = 1;
1208     let Inst{19-16} = Rn;
1209     let Inst{15-12} = 0b0000;
1210     let Inst{11-5} = shift{11-5};
1211     let Inst{4} = 0;
1212     let Inst{3-0} = shift{3-0};
1213   }
1214   def rsr : AI1<opcod, (outs),
1215                (ins GPR:$Rn, so_reg_reg:$shift), DPSoRegRegFrm, iis,
1216                opc, "\t$Rn, $shift",
1217                [(opnode GPR:$Rn, so_reg_reg:$shift)]> {
1218     bits<4> Rn;
1219     bits<12> shift;
1220     let Inst{25} = 0;
1221     let Inst{20} = 1;
1222     let Inst{19-16} = Rn;
1223     let Inst{15-12} = 0b0000;
1224     let Inst{11-8} = shift{11-8};
1225     let Inst{7} = 0;
1226     let Inst{6-5} = shift{6-5};
1227     let Inst{4} = 1;
1228     let Inst{3-0} = shift{3-0};
1229   }
1230
1231 }
1232 }
1233
1234 /// AI_ext_rrot - A unary operation with two forms: one whose operand is a
1235 /// register and one whose operand is a register rotated by 8/16/24.
1236 /// FIXME: Remove the 'r' variant. Its rot_imm is zero.
1237 class AI_ext_rrot<bits<8> opcod, string opc, PatFrag opnode>
1238   : AExtI<opcod, (outs GPRnopc:$Rd), (ins GPRnopc:$Rm, rot_imm:$rot),
1239           IIC_iEXTr, opc, "\t$Rd, $Rm$rot",
1240           [(set GPRnopc:$Rd, (opnode (rotr GPRnopc:$Rm, rot_imm:$rot)))]>,
1241        Requires<[IsARM, HasV6]> {
1242   bits<4> Rd;
1243   bits<4> Rm;
1244   bits<2> rot;
1245   let Inst{19-16} = 0b1111;
1246   let Inst{15-12} = Rd;
1247   let Inst{11-10} = rot;
1248   let Inst{3-0}   = Rm;
1249 }
1250
1251 class AI_ext_rrot_np<bits<8> opcod, string opc>
1252   : AExtI<opcod, (outs GPRnopc:$Rd), (ins GPRnopc:$Rm, rot_imm:$rot),
1253           IIC_iEXTr, opc, "\t$Rd, $Rm$rot", []>,
1254        Requires<[IsARM, HasV6]> {
1255   bits<2> rot;
1256   let Inst{19-16} = 0b1111;
1257   let Inst{11-10} = rot;
1258 }
1259
1260 /// AI_exta_rrot - A binary operation with two forms: one whose operand is a
1261 /// register and one whose operand is a register rotated by 8/16/24.
1262 class AI_exta_rrot<bits<8> opcod, string opc, PatFrag opnode>
1263   : AExtI<opcod, (outs GPRnopc:$Rd), (ins GPR:$Rn, GPRnopc:$Rm, rot_imm:$rot),
1264           IIC_iEXTAr, opc, "\t$Rd, $Rn, $Rm$rot",
1265           [(set GPRnopc:$Rd, (opnode GPR:$Rn,
1266                                      (rotr GPRnopc:$Rm, rot_imm:$rot)))]>,
1267         Requires<[IsARM, HasV6]> {
1268   bits<4> Rd;
1269   bits<4> Rm;
1270   bits<4> Rn;
1271   bits<2> rot;
1272   let Inst{19-16} = Rn;
1273   let Inst{15-12} = Rd;
1274   let Inst{11-10} = rot;
1275   let Inst{9-4}   = 0b000111;
1276   let Inst{3-0}   = Rm;
1277 }
1278
1279 class AI_exta_rrot_np<bits<8> opcod, string opc>
1280   : AExtI<opcod, (outs GPRnopc:$Rd), (ins GPR:$Rn, GPRnopc:$Rm, rot_imm:$rot),
1281           IIC_iEXTAr, opc, "\t$Rd, $Rn, $Rm$rot", []>,
1282        Requires<[IsARM, HasV6]> {
1283   bits<4> Rn;
1284   bits<2> rot;
1285   let Inst{19-16} = Rn;
1286   let Inst{11-10} = rot;
1287 }
1288
1289 /// AI1_adde_sube_irs - Define instructions and patterns for adde and sube.
1290 multiclass AI1_adde_sube_irs<bits<4> opcod, string opc, PatFrag opnode,
1291                              string baseOpc, bit Commutable = 0> {
1292   let hasPostISelHook = 1, Defs = [CPSR], Uses = [CPSR] in {
1293   def ri : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm),
1294                 DPFrm, IIC_iALUi, opc, "\t$Rd, $Rn, $imm",
1295                [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, so_imm:$imm, CPSR))]>,
1296                Requires<[IsARM]> {
1297     bits<4> Rd;
1298     bits<4> Rn;
1299     bits<12> imm;
1300     let Inst{25} = 1;
1301     let Inst{15-12} = Rd;
1302     let Inst{19-16} = Rn;
1303     let Inst{11-0} = imm;
1304   }
1305   def rr : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
1306                 DPFrm, IIC_iALUr, opc, "\t$Rd, $Rn, $Rm",
1307                [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, GPR:$Rm, CPSR))]>,
1308                Requires<[IsARM]> {
1309     bits<4> Rd;
1310     bits<4> Rn;
1311     bits<4> Rm;
1312     let Inst{11-4} = 0b00000000;
1313     let Inst{25} = 0;
1314     let isCommutable = Commutable;
1315     let Inst{3-0} = Rm;
1316     let Inst{15-12} = Rd;
1317     let Inst{19-16} = Rn;
1318   }
1319   def rsi : AsI1<opcod, (outs GPR:$Rd),
1320                 (ins GPR:$Rn, so_reg_imm:$shift),
1321                 DPSoRegImmFrm, IIC_iALUsr, opc, "\t$Rd, $Rn, $shift",
1322               [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, so_reg_imm:$shift, CPSR))]>,
1323                Requires<[IsARM]> {
1324     bits<4> Rd;
1325     bits<4> Rn;
1326     bits<12> shift;
1327     let Inst{25} = 0;
1328     let Inst{19-16} = Rn;
1329     let Inst{15-12} = Rd;
1330     let Inst{11-5} = shift{11-5};
1331     let Inst{4} = 0;
1332     let Inst{3-0} = shift{3-0};
1333   }
1334   def rsr : AsI1<opcod, (outs GPR:$Rd),
1335                 (ins GPR:$Rn, so_reg_reg:$shift),
1336                 DPSoRegRegFrm, IIC_iALUsr, opc, "\t$Rd, $Rn, $shift",
1337               [(set GPR:$Rd, CPSR, (opnode GPR:$Rn, so_reg_reg:$shift, CPSR))]>,
1338                Requires<[IsARM]> {
1339     bits<4> Rd;
1340     bits<4> Rn;
1341     bits<12> shift;
1342     let Inst{25} = 0;
1343     let Inst{19-16} = Rn;
1344     let Inst{15-12} = Rd;
1345     let Inst{11-8} = shift{11-8};
1346     let Inst{7} = 0;
1347     let Inst{6-5} = shift{6-5};
1348     let Inst{4} = 1;
1349     let Inst{3-0} = shift{3-0};
1350   }
1351   }
1352
1353   // Assembly aliases for optional destination operand when it's the same
1354   // as the source operand.
1355   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $imm"),
1356      (!cast<Instruction>(!strconcat(baseOpc, "ri")) GPR:$Rdn, GPR:$Rdn,
1357                                                     so_imm:$imm, pred:$p,
1358                                                     cc_out:$s)>,
1359      Requires<[IsARM]>;
1360   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $Rm"),
1361      (!cast<Instruction>(!strconcat(baseOpc, "rr")) GPR:$Rdn, GPR:$Rdn,
1362                                                     GPR:$Rm, pred:$p,
1363                                                     cc_out:$s)>,
1364      Requires<[IsARM]>;
1365   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1366      (!cast<Instruction>(!strconcat(baseOpc, "rsi")) GPR:$Rdn, GPR:$Rdn,
1367                                                     so_reg_imm:$shift, pred:$p,
1368                                                     cc_out:$s)>,
1369      Requires<[IsARM]>;
1370   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1371      (!cast<Instruction>(!strconcat(baseOpc, "rsr")) GPR:$Rdn, GPR:$Rdn,
1372                                                     so_reg_reg:$shift, pred:$p,
1373                                                     cc_out:$s)>,
1374      Requires<[IsARM]>;
1375 }
1376
1377 /// AI1_rsc_irs - Define instructions and patterns for rsc
1378 multiclass AI1_rsc_irs<bits<4> opcod, string opc, PatFrag opnode,
1379                        string baseOpc> {
1380   let hasPostISelHook = 1, Defs = [CPSR], Uses = [CPSR] in {
1381   def ri : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_imm:$imm),
1382                 DPFrm, IIC_iALUi, opc, "\t$Rd, $Rn, $imm",
1383                [(set GPR:$Rd, CPSR, (opnode so_imm:$imm, GPR:$Rn, CPSR))]>,
1384                Requires<[IsARM]> {
1385     bits<4> Rd;
1386     bits<4> Rn;
1387     bits<12> imm;
1388     let Inst{25} = 1;
1389     let Inst{15-12} = Rd;
1390     let Inst{19-16} = Rn;
1391     let Inst{11-0} = imm;
1392   }
1393   def rr : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
1394                 DPFrm, IIC_iALUr, opc, "\t$Rd, $Rn, $Rm",
1395                [/* pattern left blank */]> {
1396     bits<4> Rd;
1397     bits<4> Rn;
1398     bits<4> Rm;
1399     let Inst{11-4} = 0b00000000;
1400     let Inst{25} = 0;
1401     let Inst{3-0} = Rm;
1402     let Inst{15-12} = Rd;
1403     let Inst{19-16} = Rn;
1404   }
1405   def rsi : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_reg_imm:$shift),
1406                 DPSoRegImmFrm, IIC_iALUsr, opc, "\t$Rd, $Rn, $shift",
1407               [(set GPR:$Rd, CPSR, (opnode so_reg_imm:$shift, GPR:$Rn, CPSR))]>,
1408                Requires<[IsARM]> {
1409     bits<4> Rd;
1410     bits<4> Rn;
1411     bits<12> shift;
1412     let Inst{25} = 0;
1413     let Inst{19-16} = Rn;
1414     let Inst{15-12} = Rd;
1415     let Inst{11-5} = shift{11-5};
1416     let Inst{4} = 0;
1417     let Inst{3-0} = shift{3-0};
1418   }
1419   def rsr : AsI1<opcod, (outs GPR:$Rd), (ins GPR:$Rn, so_reg_reg:$shift),
1420                 DPSoRegRegFrm, IIC_iALUsr, opc, "\t$Rd, $Rn, $shift",
1421               [(set GPR:$Rd, CPSR, (opnode so_reg_reg:$shift, GPR:$Rn, CPSR))]>,
1422                Requires<[IsARM]> {
1423     bits<4> Rd;
1424     bits<4> Rn;
1425     bits<12> shift;
1426     let Inst{25} = 0;
1427     let Inst{19-16} = Rn;
1428     let Inst{15-12} = Rd;
1429     let Inst{11-8} = shift{11-8};
1430     let Inst{7} = 0;
1431     let Inst{6-5} = shift{6-5};
1432     let Inst{4} = 1;
1433     let Inst{3-0} = shift{3-0};
1434   }
1435   }
1436
1437   // Assembly aliases for optional destination operand when it's the same
1438   // as the source operand.
1439   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $imm"),
1440      (!cast<Instruction>(!strconcat(baseOpc, "ri")) GPR:$Rdn, GPR:$Rdn,
1441                                                     so_imm:$imm, pred:$p,
1442                                                     cc_out:$s)>,
1443      Requires<[IsARM]>;
1444   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $Rm"),
1445      (!cast<Instruction>(!strconcat(baseOpc, "rr")) GPR:$Rdn, GPR:$Rdn,
1446                                                     GPR:$Rm, pred:$p,
1447                                                     cc_out:$s)>,
1448      Requires<[IsARM]>;
1449   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1450      (!cast<Instruction>(!strconcat(baseOpc, "rsi")) GPR:$Rdn, GPR:$Rdn,
1451                                                     so_reg_imm:$shift, pred:$p,
1452                                                     cc_out:$s)>,
1453      Requires<[IsARM]>;
1454   def : InstAlias<!strconcat(opc, "${s}${p} $Rdn, $shift"),
1455      (!cast<Instruction>(!strconcat(baseOpc, "rsr")) GPR:$Rdn, GPR:$Rdn,
1456                                                     so_reg_reg:$shift, pred:$p,
1457                                                     cc_out:$s)>,
1458      Requires<[IsARM]>;
1459 }
1460
1461 let canFoldAsLoad = 1, isReMaterializable = 1 in {
1462 multiclass AI_ldr1<bit isByte, string opc, InstrItinClass iii,
1463            InstrItinClass iir, PatFrag opnode> {
1464   // Note: We use the complex addrmode_imm12 rather than just an input
1465   // GPR and a constrained immediate so that we can use this to match
1466   // frame index references and avoid matching constant pool references.
1467   def i12: AI2ldst<0b010, 1, isByte, (outs GPR:$Rt), (ins addrmode_imm12:$addr),
1468                    AddrMode_i12, LdFrm, iii, opc, "\t$Rt, $addr",
1469                   [(set GPR:$Rt, (opnode addrmode_imm12:$addr))]> {
1470     bits<4>  Rt;
1471     bits<17> addr;
1472     let Inst{23}    = addr{12};     // U (add = ('U' == 1))
1473     let Inst{19-16} = addr{16-13};  // Rn
1474     let Inst{15-12} = Rt;
1475     let Inst{11-0}  = addr{11-0};   // imm12
1476   }
1477   def rs : AI2ldst<0b011, 1, isByte, (outs GPR:$Rt), (ins ldst_so_reg:$shift),
1478                   AddrModeNone, LdFrm, iir, opc, "\t$Rt, $shift",
1479                  [(set GPR:$Rt, (opnode ldst_so_reg:$shift))]> {
1480     bits<4>  Rt;
1481     bits<17> shift;
1482     let shift{4}    = 0;            // Inst{4} = 0
1483     let Inst{23}    = shift{12};    // U (add = ('U' == 1))
1484     let Inst{19-16} = shift{16-13}; // Rn
1485     let Inst{15-12} = Rt;
1486     let Inst{11-0}  = shift{11-0};
1487   }
1488 }
1489 }
1490
1491 let canFoldAsLoad = 1, isReMaterializable = 1 in {
1492 multiclass AI_ldr1nopc<bit isByte, string opc, InstrItinClass iii,
1493            InstrItinClass iir, PatFrag opnode> {
1494   // Note: We use the complex addrmode_imm12 rather than just an input
1495   // GPR and a constrained immediate so that we can use this to match
1496   // frame index references and avoid matching constant pool references.
1497   def i12: AI2ldst<0b010, 1, isByte, (outs GPRnopc:$Rt), (ins addrmode_imm12:$addr),
1498                    AddrMode_i12, LdFrm, iii, opc, "\t$Rt, $addr",
1499                   [(set GPRnopc:$Rt, (opnode addrmode_imm12:$addr))]> {
1500     bits<4>  Rt;
1501     bits<17> addr;
1502     let Inst{23}    = addr{12};     // U (add = ('U' == 1))
1503     let Inst{19-16} = addr{16-13};  // Rn
1504     let Inst{15-12} = Rt;
1505     let Inst{11-0}  = addr{11-0};   // imm12
1506   }
1507   def rs : AI2ldst<0b011, 1, isByte, (outs GPRnopc:$Rt), (ins ldst_so_reg:$shift),
1508                   AddrModeNone, LdFrm, iir, opc, "\t$Rt, $shift",
1509                  [(set GPRnopc:$Rt, (opnode ldst_so_reg:$shift))]> {
1510     bits<4>  Rt;
1511     bits<17> shift;
1512     let shift{4}    = 0;            // Inst{4} = 0
1513     let Inst{23}    = shift{12};    // U (add = ('U' == 1))
1514     let Inst{19-16} = shift{16-13}; // Rn
1515     let Inst{15-12} = Rt;
1516     let Inst{11-0}  = shift{11-0};
1517   }
1518 }
1519 }
1520
1521
1522 multiclass AI_str1<bit isByte, string opc, InstrItinClass iii,
1523            InstrItinClass iir, PatFrag opnode> {
1524   // Note: We use the complex addrmode_imm12 rather than just an input
1525   // GPR and a constrained immediate so that we can use this to match
1526   // frame index references and avoid matching constant pool references.
1527   def i12 : AI2ldst<0b010, 0, isByte, (outs),
1528                    (ins GPR:$Rt, addrmode_imm12:$addr),
1529                    AddrMode_i12, StFrm, iii, opc, "\t$Rt, $addr",
1530                   [(opnode GPR:$Rt, addrmode_imm12:$addr)]> {
1531     bits<4> Rt;
1532     bits<17> addr;
1533     let Inst{23}    = addr{12};     // U (add = ('U' == 1))
1534     let Inst{19-16} = addr{16-13};  // Rn
1535     let Inst{15-12} = Rt;
1536     let Inst{11-0}  = addr{11-0};   // imm12
1537   }
1538   def rs : AI2ldst<0b011, 0, isByte, (outs), (ins GPR:$Rt, ldst_so_reg:$shift),
1539                   AddrModeNone, StFrm, iir, opc, "\t$Rt, $shift",
1540                  [(opnode GPR:$Rt, ldst_so_reg:$shift)]> {
1541     bits<4> Rt;
1542     bits<17> shift;
1543     let shift{4}    = 0;            // Inst{4} = 0
1544     let Inst{23}    = shift{12};    // U (add = ('U' == 1))
1545     let Inst{19-16} = shift{16-13}; // Rn
1546     let Inst{15-12} = Rt;
1547     let Inst{11-0}  = shift{11-0};
1548   }
1549 }
1550
1551 multiclass AI_str1nopc<bit isByte, string opc, InstrItinClass iii,
1552            InstrItinClass iir, PatFrag opnode> {
1553   // Note: We use the complex addrmode_imm12 rather than just an input
1554   // GPR and a constrained immediate so that we can use this to match
1555   // frame index references and avoid matching constant pool references.
1556   def i12 : AI2ldst<0b010, 0, isByte, (outs),
1557                    (ins GPRnopc:$Rt, addrmode_imm12:$addr),
1558                    AddrMode_i12, StFrm, iii, opc, "\t$Rt, $addr",
1559                   [(opnode GPRnopc:$Rt, addrmode_imm12:$addr)]> {
1560     bits<4> Rt;
1561     bits<17> addr;
1562     let Inst{23}    = addr{12};     // U (add = ('U' == 1))
1563     let Inst{19-16} = addr{16-13};  // Rn
1564     let Inst{15-12} = Rt;
1565     let Inst{11-0}  = addr{11-0};   // imm12
1566   }
1567   def rs : AI2ldst<0b011, 0, isByte, (outs), (ins GPRnopc:$Rt, ldst_so_reg:$shift),
1568                   AddrModeNone, StFrm, iir, opc, "\t$Rt, $shift",
1569                  [(opnode GPRnopc:$Rt, ldst_so_reg:$shift)]> {
1570     bits<4> Rt;
1571     bits<17> shift;
1572     let shift{4}    = 0;            // Inst{4} = 0
1573     let Inst{23}    = shift{12};    // U (add = ('U' == 1))
1574     let Inst{19-16} = shift{16-13}; // Rn
1575     let Inst{15-12} = Rt;
1576     let Inst{11-0}  = shift{11-0};
1577   }
1578 }
1579
1580
1581 //===----------------------------------------------------------------------===//
1582 // Instructions
1583 //===----------------------------------------------------------------------===//
1584
1585 //===----------------------------------------------------------------------===//
1586 //  Miscellaneous Instructions.
1587 //
1588
1589 /// CONSTPOOL_ENTRY - This instruction represents a floating constant pool in
1590 /// the function.  The first operand is the ID# for this instruction, the second
1591 /// is the index into the MachineConstantPool that this is, the third is the
1592 /// size in bytes of this constant pool entry.
1593 let neverHasSideEffects = 1, isNotDuplicable = 1 in
1594 def CONSTPOOL_ENTRY :
1595 PseudoInst<(outs), (ins cpinst_operand:$instid, cpinst_operand:$cpidx,
1596                     i32imm:$size), NoItinerary, []>;
1597
1598 // FIXME: Marking these as hasSideEffects is necessary to prevent machine DCE
1599 // from removing one half of the matched pairs. That breaks PEI, which assumes
1600 // these will always be in pairs, and asserts if it finds otherwise. Better way?
1601 let Defs = [SP], Uses = [SP], hasSideEffects = 1 in {
1602 def ADJCALLSTACKUP :
1603 PseudoInst<(outs), (ins i32imm:$amt1, i32imm:$amt2, pred:$p), NoItinerary,
1604            [(ARMcallseq_end timm:$amt1, timm:$amt2)]>;
1605
1606 def ADJCALLSTACKDOWN :
1607 PseudoInst<(outs), (ins i32imm:$amt, pred:$p), NoItinerary,
1608            [(ARMcallseq_start timm:$amt)]>;
1609 }
1610
1611 // Atomic pseudo-insts which will be lowered to ldrexd/strexd loops.
1612 // (These pseudos use a hand-written selection code).
1613 let usesCustomInserter = 1, Defs = [CPSR], mayLoad = 1, mayStore = 1 in {
1614 def ATOMOR6432   : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1615                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1616                               NoItinerary, []>;
1617 def ATOMXOR6432  : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1618                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1619                               NoItinerary, []>;
1620 def ATOMADD6432  : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1621                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1622                               NoItinerary, []>;
1623 def ATOMSUB6432  : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1624                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1625                               NoItinerary, []>;
1626 def ATOMNAND6432 : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1627                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1628                               NoItinerary, []>;
1629 def ATOMAND6432  : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1630                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1631                               NoItinerary, []>;
1632 def ATOMSWAP6432 : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1633                               (ins GPR:$addr, GPR:$src1, GPR:$src2),
1634                               NoItinerary, []>;
1635 def ATOMCMPXCHG6432 : PseudoInst<(outs GPR:$dst1, GPR:$dst2),
1636                                  (ins GPR:$addr, GPR:$cmp1, GPR:$cmp2,
1637                                       GPR:$set1, GPR:$set2),
1638                                  NoItinerary, []>;
1639 }
1640
1641 def NOP : AI<(outs), (ins), MiscFrm, NoItinerary, "nop", "", []>,
1642           Requires<[IsARM, HasV6T2]> {
1643   let Inst{27-16} = 0b001100100000;
1644   let Inst{15-8} = 0b11110000;
1645   let Inst{7-0} = 0b00000000;
1646 }
1647
1648 def YIELD : AI<(outs), (ins), MiscFrm, NoItinerary, "yield", "", []>,
1649           Requires<[IsARM, HasV6T2]> {
1650   let Inst{27-16} = 0b001100100000;
1651   let Inst{15-8} = 0b11110000;
1652   let Inst{7-0} = 0b00000001;
1653 }
1654
1655 def WFE : AI<(outs), (ins), MiscFrm, NoItinerary, "wfe", "", []>,
1656           Requires<[IsARM, HasV6T2]> {
1657   let Inst{27-16} = 0b001100100000;
1658   let Inst{15-8} = 0b11110000;
1659   let Inst{7-0} = 0b00000010;
1660 }
1661
1662 def WFI : AI<(outs), (ins), MiscFrm, NoItinerary, "wfi", "", []>,
1663           Requires<[IsARM, HasV6T2]> {
1664   let Inst{27-16} = 0b001100100000;
1665   let Inst{15-8} = 0b11110000;
1666   let Inst{7-0} = 0b00000011;
1667 }
1668
1669 def SEL : AI<(outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm), DPFrm, NoItinerary, "sel",
1670              "\t$Rd, $Rn, $Rm", []>, Requires<[IsARM, HasV6]> {
1671   bits<4> Rd;
1672   bits<4> Rn;
1673   bits<4> Rm;
1674   let Inst{3-0} = Rm;
1675   let Inst{15-12} = Rd;
1676   let Inst{19-16} = Rn;
1677   let Inst{27-20} = 0b01101000;
1678   let Inst{7-4} = 0b1011;
1679   let Inst{11-8} = 0b1111;
1680 }
1681
1682 def SEV : AI<(outs), (ins), MiscFrm, NoItinerary, "sev", "",
1683              []>, Requires<[IsARM, HasV6T2]> {
1684   let Inst{27-16} = 0b001100100000;
1685   let Inst{15-8} = 0b11110000;
1686   let Inst{7-0} = 0b00000100;
1687 }
1688
1689 // The i32imm operand $val can be used by a debugger to store more information
1690 // about the breakpoint.
1691 def BKPT : AI<(outs), (ins imm0_65535:$val), MiscFrm, NoItinerary,
1692               "bkpt", "\t$val", []>, Requires<[IsARM]> {
1693   bits<16> val;
1694   let Inst{3-0} = val{3-0};
1695   let Inst{19-8} = val{15-4};
1696   let Inst{27-20} = 0b00010010;
1697   let Inst{7-4} = 0b0111;
1698 }
1699
1700 // Change Processor State
1701 // FIXME: We should use InstAlias to handle the optional operands.
1702 class CPS<dag iops, string asm_ops>
1703   : AXI<(outs), iops, MiscFrm, NoItinerary, !strconcat("cps", asm_ops),
1704         []>, Requires<[IsARM]> {
1705   bits<2> imod;
1706   bits<3> iflags;
1707   bits<5> mode;
1708   bit M;
1709
1710   let Inst{31-28} = 0b1111;
1711   let Inst{27-20} = 0b00010000;
1712   let Inst{19-18} = imod;
1713   let Inst{17}    = M; // Enabled if mode is set;
1714   let Inst{16-9}  = 0b00000000;
1715   let Inst{8-6}   = iflags;
1716   let Inst{5}     = 0;
1717   let Inst{4-0}   = mode;
1718 }
1719
1720 let DecoderMethod = "DecodeCPSInstruction" in {
1721 let M = 1 in
1722   def CPS3p : CPS<(ins imod_op:$imod, iflags_op:$iflags, imm0_31:$mode),
1723                   "$imod\t$iflags, $mode">;
1724 let mode = 0, M = 0 in
1725   def CPS2p : CPS<(ins imod_op:$imod, iflags_op:$iflags), "$imod\t$iflags">;
1726
1727 let imod = 0, iflags = 0, M = 1 in
1728   def CPS1p : CPS<(ins imm0_31:$mode), "\t$mode">;
1729 }
1730
1731 // Preload signals the memory system of possible future data/instruction access.
1732 multiclass APreLoad<bits<1> read, bits<1> data, string opc> {
1733
1734   def i12 : AXI<(outs), (ins addrmode_imm12:$addr), MiscFrm, IIC_Preload,
1735                 !strconcat(opc, "\t$addr"),
1736                 [(ARMPreload addrmode_imm12:$addr, (i32 read), (i32 data))]> {
1737     bits<4> Rt;
1738     bits<17> addr;
1739     let Inst{31-26} = 0b111101;
1740     let Inst{25} = 0; // 0 for immediate form
1741     let Inst{24} = data;
1742     let Inst{23} = addr{12};        // U (add = ('U' == 1))
1743     let Inst{22} = read;
1744     let Inst{21-20} = 0b01;
1745     let Inst{19-16} = addr{16-13};  // Rn
1746     let Inst{15-12} = 0b1111;
1747     let Inst{11-0}  = addr{11-0};   // imm12
1748   }
1749
1750   def rs : AXI<(outs), (ins ldst_so_reg:$shift), MiscFrm, IIC_Preload,
1751                !strconcat(opc, "\t$shift"),
1752                [(ARMPreload ldst_so_reg:$shift, (i32 read), (i32 data))]> {
1753     bits<17> shift;
1754     let Inst{31-26} = 0b111101;
1755     let Inst{25} = 1; // 1 for register form
1756     let Inst{24} = data;
1757     let Inst{23} = shift{12};    // U (add = ('U' == 1))
1758     let Inst{22} = read;
1759     let Inst{21-20} = 0b01;
1760     let Inst{19-16} = shift{16-13}; // Rn
1761     let Inst{15-12} = 0b1111;
1762     let Inst{11-0}  = shift{11-0};
1763     let Inst{4} = 0;
1764   }
1765 }
1766
1767 defm PLD  : APreLoad<1, 1, "pld">,  Requires<[IsARM]>;
1768 defm PLDW : APreLoad<0, 1, "pldw">, Requires<[IsARM,HasV7,HasMP]>;
1769 defm PLI  : APreLoad<1, 0, "pli">,  Requires<[IsARM,HasV7]>;
1770
1771 def SETEND : AXI<(outs), (ins setend_op:$end), MiscFrm, NoItinerary,
1772                  "setend\t$end", []>, Requires<[IsARM]> {
1773   bits<1> end;
1774   let Inst{31-10} = 0b1111000100000001000000;
1775   let Inst{9} = end;
1776   let Inst{8-0} = 0;
1777 }
1778
1779 def DBG : AI<(outs), (ins imm0_15:$opt), MiscFrm, NoItinerary, "dbg", "\t$opt",
1780              []>, Requires<[IsARM, HasV7]> {
1781   bits<4> opt;
1782   let Inst{27-4} = 0b001100100000111100001111;
1783   let Inst{3-0} = opt;
1784 }
1785
1786 // A5.4 Permanently UNDEFINED instructions.
1787 let isBarrier = 1, isTerminator = 1 in
1788 def TRAP : AXI<(outs), (ins), MiscFrm, NoItinerary,
1789                "trap", [(trap)]>,
1790            Requires<[IsARM]> {
1791   let Inst = 0xe7ffdefe;
1792 }
1793
1794 // Address computation and loads and stores in PIC mode.
1795 let isNotDuplicable = 1 in {
1796 def PICADD  : ARMPseudoInst<(outs GPR:$dst), (ins GPR:$a, pclabel:$cp, pred:$p),
1797                             4, IIC_iALUr,
1798                             [(set GPR:$dst, (ARMpic_add GPR:$a, imm:$cp))]>;
1799
1800 let AddedComplexity = 10 in {
1801 def PICLDR  : ARMPseudoInst<(outs GPR:$dst), (ins addrmodepc:$addr, pred:$p),
1802                             4, IIC_iLoad_r,
1803                             [(set GPR:$dst, (load addrmodepc:$addr))]>;
1804
1805 def PICLDRH : ARMPseudoInst<(outs GPR:$Rt), (ins addrmodepc:$addr, pred:$p),
1806                             4, IIC_iLoad_bh_r,
1807                             [(set GPR:$Rt, (zextloadi16 addrmodepc:$addr))]>;
1808
1809 def PICLDRB : ARMPseudoInst<(outs GPR:$Rt), (ins addrmodepc:$addr, pred:$p),
1810                             4, IIC_iLoad_bh_r,
1811                             [(set GPR:$Rt, (zextloadi8 addrmodepc:$addr))]>;
1812
1813 def PICLDRSH : ARMPseudoInst<(outs GPR:$Rt), (ins addrmodepc:$addr, pred:$p),
1814                             4, IIC_iLoad_bh_r,
1815                             [(set GPR:$Rt, (sextloadi16 addrmodepc:$addr))]>;
1816
1817 def PICLDRSB : ARMPseudoInst<(outs GPR:$Rt), (ins addrmodepc:$addr, pred:$p),
1818                             4, IIC_iLoad_bh_r,
1819                             [(set GPR:$Rt, (sextloadi8 addrmodepc:$addr))]>;
1820 }
1821 let AddedComplexity = 10 in {
1822 def PICSTR  : ARMPseudoInst<(outs), (ins GPR:$src, addrmodepc:$addr, pred:$p),
1823       4, IIC_iStore_r, [(store GPR:$src, addrmodepc:$addr)]>;
1824
1825 def PICSTRH : ARMPseudoInst<(outs), (ins GPR:$src, addrmodepc:$addr, pred:$p),
1826       4, IIC_iStore_bh_r, [(truncstorei16 GPR:$src,
1827                                                    addrmodepc:$addr)]>;
1828
1829 def PICSTRB : ARMPseudoInst<(outs), (ins GPR:$src, addrmodepc:$addr, pred:$p),
1830       4, IIC_iStore_bh_r, [(truncstorei8 GPR:$src, addrmodepc:$addr)]>;
1831 }
1832 } // isNotDuplicable = 1
1833
1834
1835 // LEApcrel - Load a pc-relative address into a register without offending the
1836 // assembler.
1837 let neverHasSideEffects = 1, isReMaterializable = 1 in
1838 // The 'adr' mnemonic encodes differently if the label is before or after
1839 // the instruction. The {24-21} opcode bits are set by the fixup, as we don't
1840 // know until then which form of the instruction will be used.
1841 def ADR : AI1<{0,?,?,0}, (outs GPR:$Rd), (ins adrlabel:$label),
1842                  MiscFrm, IIC_iALUi, "adr", "\t$Rd, $label", []> {
1843   bits<4> Rd;
1844   bits<14> label;
1845   let Inst{27-25} = 0b001;
1846   let Inst{24} = 0;
1847   let Inst{23-22} = label{13-12};
1848   let Inst{21} = 0;
1849   let Inst{20} = 0;
1850   let Inst{19-16} = 0b1111;
1851   let Inst{15-12} = Rd;
1852   let Inst{11-0} = label{11-0};
1853 }
1854 def LEApcrel : ARMPseudoInst<(outs GPR:$Rd), (ins i32imm:$label, pred:$p),
1855                     4, IIC_iALUi, []>;
1856
1857 def LEApcrelJT : ARMPseudoInst<(outs GPR:$Rd),
1858                       (ins i32imm:$label, nohash_imm:$id, pred:$p),
1859                       4, IIC_iALUi, []>;
1860
1861 //===----------------------------------------------------------------------===//
1862 //  Control Flow Instructions.
1863 //
1864
1865 let isReturn = 1, isTerminator = 1, isBarrier = 1 in {
1866   // ARMV4T and above
1867   def BX_RET : AI<(outs), (ins), BrMiscFrm, IIC_Br,
1868                   "bx", "\tlr", [(ARMretflag)]>,
1869                Requires<[IsARM, HasV4T]> {
1870     let Inst{27-0}  = 0b0001001011111111111100011110;
1871   }
1872
1873   // ARMV4 only
1874   def MOVPCLR : AI<(outs), (ins), BrMiscFrm, IIC_Br,
1875                   "mov", "\tpc, lr", [(ARMretflag)]>,
1876                Requires<[IsARM, NoV4T]> {
1877     let Inst{27-0} = 0b0001101000001111000000001110;
1878   }
1879 }
1880
1881 // Indirect branches
1882 let isBranch = 1, isTerminator = 1, isBarrier = 1, isIndirectBranch = 1 in {
1883   // ARMV4T and above
1884   def BX : AXI<(outs), (ins GPR:$dst), BrMiscFrm, IIC_Br, "bx\t$dst",
1885                   [(brind GPR:$dst)]>,
1886               Requires<[IsARM, HasV4T]> {
1887     bits<4> dst;
1888     let Inst{31-4} = 0b1110000100101111111111110001;
1889     let Inst{3-0}  = dst;
1890   }
1891
1892   def BX_pred : AI<(outs), (ins GPR:$dst), BrMiscFrm, IIC_Br,
1893                   "bx", "\t$dst", [/* pattern left blank */]>,
1894               Requires<[IsARM, HasV4T]> {
1895     bits<4> dst;
1896     let Inst{27-4} = 0b000100101111111111110001;
1897     let Inst{3-0}  = dst;
1898   }
1899 }
1900
1901 // SP is marked as a use to prevent stack-pointer assignments that appear
1902 // immediately before calls from potentially appearing dead.
1903 let isCall = 1,
1904   // FIXME:  Do we really need a non-predicated version? If so, it should
1905   // at least be a pseudo instruction expanding to the predicated version
1906   // at MC lowering time.
1907   Defs = [LR], Uses = [SP] in {
1908   def BL  : ABXI<0b1011, (outs), (ins bl_target:$func, variable_ops),
1909                 IIC_Br, "bl\t$func",
1910                 [(ARMcall tglobaladdr:$func)]>,
1911             Requires<[IsARM, IsNotIOS]> {
1912     let Inst{31-28} = 0b1110;
1913     bits<24> func;
1914     let Inst{23-0} = func;
1915     let DecoderMethod = "DecodeBranchImmInstruction";
1916   }
1917
1918   def BL_pred : ABI<0b1011, (outs), (ins bl_target:$func, variable_ops),
1919                    IIC_Br, "bl", "\t$func",
1920                    [(ARMcall_pred tglobaladdr:$func)]>,
1921                 Requires<[IsARM, IsNotIOS]> {
1922     bits<24> func;
1923     let Inst{23-0} = func;
1924     let DecoderMethod = "DecodeBranchImmInstruction";
1925   }
1926
1927   // ARMv5T and above
1928   def BLX : AXI<(outs), (ins GPR:$func, variable_ops), BrMiscFrm,
1929                 IIC_Br, "blx\t$func",
1930                 [(ARMcall GPR:$func)]>,
1931             Requires<[IsARM, HasV5T, IsNotIOS]> {
1932     bits<4> func;
1933     let Inst{31-4} = 0b1110000100101111111111110011;
1934     let Inst{3-0}  = func;
1935   }
1936
1937   def BLX_pred : AI<(outs), (ins GPR:$func, variable_ops), BrMiscFrm,
1938                     IIC_Br, "blx", "\t$func",
1939                     [(ARMcall_pred GPR:$func)]>,
1940                  Requires<[IsARM, HasV5T, IsNotIOS]> {
1941     bits<4> func;
1942     let Inst{27-4} = 0b000100101111111111110011;
1943     let Inst{3-0}  = func;
1944   }
1945
1946   // ARMv4T
1947   // Note: Restrict $func to the tGPR regclass to prevent it being in LR.
1948   def BX_CALL : ARMPseudoInst<(outs), (ins tGPR:$func, variable_ops),
1949                    8, IIC_Br, [(ARMcall_nolink tGPR:$func)]>,
1950                    Requires<[IsARM, HasV4T, IsNotIOS]>;
1951
1952   // ARMv4
1953   def BMOVPCRX_CALL : ARMPseudoInst<(outs), (ins tGPR:$func, variable_ops),
1954                    8, IIC_Br, [(ARMcall_nolink tGPR:$func)]>,
1955                    Requires<[IsARM, NoV4T, IsNotIOS]>;
1956
1957   // mov lr, pc; b if callee is marked noreturn to avoid confusing the
1958   // return stack predictor.
1959   def BMOVPCB_CALL : ARMPseudoInst<(outs),
1960                                    (ins bl_target:$func, variable_ops),
1961                                8, IIC_Br, [(ARMcall_nolink tglobaladdr:$func)]>,
1962                       Requires<[IsARM, IsNotIOS]>;
1963 }
1964
1965 let isCall = 1,
1966   // On IOS R9 is call-clobbered.
1967   // R7 is marked as a use to prevent frame-pointer assignments from being
1968   // moved above / below calls.
1969   Defs = [LR], Uses = [R7, SP] in {
1970   def BLr9  : ARMPseudoExpand<(outs), (ins bl_target:$func, variable_ops),
1971                 4, IIC_Br,
1972                 [(ARMcall tglobaladdr:$func)], (BL bl_target:$func)>,
1973               Requires<[IsARM, IsIOS]>;
1974
1975   def BLr9_pred : ARMPseudoExpand<(outs),
1976                    (ins bl_target:$func, pred:$p, variable_ops),
1977                    4, IIC_Br,
1978                    [(ARMcall_pred tglobaladdr:$func)],
1979                    (BL_pred bl_target:$func, pred:$p)>,
1980                   Requires<[IsARM, IsIOS]>;
1981
1982   // ARMv5T and above
1983   def BLXr9 : ARMPseudoExpand<(outs), (ins GPR:$func, variable_ops),
1984                 4, IIC_Br,
1985                 [(ARMcall GPR:$func)],
1986                 (BLX GPR:$func)>,
1987                Requires<[IsARM, HasV5T, IsIOS]>;
1988
1989   def BLXr9_pred: ARMPseudoExpand<(outs), (ins GPR:$func, pred:$p,variable_ops),
1990                 4, IIC_Br,
1991                 [(ARMcall_pred GPR:$func)],
1992                 (BLX_pred GPR:$func, pred:$p)>,
1993                    Requires<[IsARM, HasV5T, IsIOS]>;
1994
1995   // ARMv4T
1996   // Note: Restrict $func to the tGPR regclass to prevent it being in LR.
1997   def BXr9_CALL : ARMPseudoInst<(outs), (ins tGPR:$func, variable_ops),
1998                   8, IIC_Br, [(ARMcall_nolink tGPR:$func)]>,
1999                   Requires<[IsARM, HasV4T, IsIOS]>;
2000
2001   // ARMv4
2002   def BMOVPCRXr9_CALL : ARMPseudoInst<(outs), (ins tGPR:$func, variable_ops),
2003                   8, IIC_Br, [(ARMcall_nolink tGPR:$func)]>,
2004                   Requires<[IsARM, NoV4T, IsIOS]>;
2005
2006   // mov lr, pc; b if callee is marked noreturn to avoid confusing the
2007   // return stack predictor.
2008   def BMOVPCBr9_CALL : ARMPseudoInst<(outs),(ins bl_target:$func, variable_ops),
2009                                8, IIC_Br, [(ARMcall_nolink tglobaladdr:$func)]>,
2010                         Requires<[IsARM, IsIOS]>;
2011 }
2012
2013 let isBranch = 1, isTerminator = 1 in {
2014   // FIXME: should be able to write a pattern for ARMBrcond, but can't use
2015   // a two-value operand where a dag node expects two operands. :(
2016   def Bcc : ABI<0b1010, (outs), (ins br_target:$target),
2017                IIC_Br, "b", "\t$target",
2018                [/*(ARMbrcond bb:$target, imm:$cc, CCR:$ccr)*/]> {
2019     bits<24> target;
2020     let Inst{23-0} = target;
2021     let DecoderMethod = "DecodeBranchImmInstruction";
2022   }
2023
2024   let isBarrier = 1 in {
2025     // B is "predicable" since it's just a Bcc with an 'always' condition.
2026     let isPredicable = 1 in
2027     // FIXME: We shouldn't need this pseudo at all. Just using Bcc directly
2028     // should be sufficient.
2029     // FIXME: Is B really a Barrier? That doesn't seem right.
2030     def B : ARMPseudoExpand<(outs), (ins br_target:$target), 4, IIC_Br,
2031                 [(br bb:$target)], (Bcc br_target:$target, (ops 14, zero_reg))>;
2032
2033     let isNotDuplicable = 1, isIndirectBranch = 1 in {
2034     def BR_JTr : ARMPseudoInst<(outs),
2035                       (ins GPR:$target, i32imm:$jt, i32imm:$id),
2036                       0, IIC_Br,
2037                       [(ARMbrjt GPR:$target, tjumptable:$jt, imm:$id)]>;
2038     // FIXME: This shouldn't use the generic "addrmode2," but rather be split
2039     // into i12 and rs suffixed versions.
2040     def BR_JTm : ARMPseudoInst<(outs),
2041                      (ins addrmode2:$target, i32imm:$jt, i32imm:$id),
2042                      0, IIC_Br,
2043                      [(ARMbrjt (i32 (load addrmode2:$target)), tjumptable:$jt,
2044                        imm:$id)]>;
2045     def BR_JTadd : ARMPseudoInst<(outs),
2046                    (ins GPR:$target, GPR:$idx, i32imm:$jt, i32imm:$id),
2047                    0, IIC_Br,
2048                    [(ARMbrjt (add GPR:$target, GPR:$idx), tjumptable:$jt,
2049                      imm:$id)]>;
2050     } // isNotDuplicable = 1, isIndirectBranch = 1
2051   } // isBarrier = 1
2052
2053 }
2054
2055 // BLX (immediate)
2056 def BLXi : AXI<(outs), (ins blx_target:$target), BrMiscFrm, NoItinerary,
2057                "blx\t$target", []>,
2058            Requires<[IsARM, HasV5T]> {
2059   let Inst{31-25} = 0b1111101;
2060   bits<25> target;
2061   let Inst{23-0} = target{24-1};
2062   let Inst{24} = target{0};
2063 }
2064
2065 // Branch and Exchange Jazelle
2066 def BXJ : ABI<0b0001, (outs), (ins GPR:$func), NoItinerary, "bxj", "\t$func",
2067               [/* pattern left blank */]> {
2068   bits<4> func;
2069   let Inst{23-20} = 0b0010;
2070   let Inst{19-8} = 0xfff;
2071   let Inst{7-4} = 0b0010;
2072   let Inst{3-0} = func;
2073 }
2074
2075 // Tail calls.
2076
2077 let isCall = 1, isTerminator = 1, isReturn = 1, isBarrier = 1 in {
2078   // IOS versions.
2079   let Uses = [SP] in {
2080     def TCRETURNdi : PseudoInst<(outs), (ins i32imm:$dst, variable_ops),
2081                        IIC_Br, []>, Requires<[IsIOS]>;
2082
2083     def TCRETURNri : PseudoInst<(outs), (ins tcGPR:$dst, variable_ops),
2084                        IIC_Br, []>, Requires<[IsIOS]>;
2085
2086     def TAILJMPd : ARMPseudoExpand<(outs), (ins br_target:$dst, variable_ops),
2087                    4, IIC_Br, [],
2088                    (Bcc br_target:$dst, (ops 14, zero_reg))>,
2089                    Requires<[IsARM, IsIOS]>;
2090
2091     def TAILJMPr : ARMPseudoExpand<(outs), (ins tcGPR:$dst, variable_ops),
2092                    4, IIC_Br, [],
2093                    (BX GPR:$dst)>,
2094                    Requires<[IsARM, IsIOS]>;
2095
2096   }
2097
2098   // Non-IOS versions (the difference is R9).
2099   let Uses = [SP] in {
2100     def TCRETURNdiND : PseudoInst<(outs), (ins i32imm:$dst, variable_ops),
2101                        IIC_Br, []>, Requires<[IsNotIOS]>;
2102
2103     def TCRETURNriND : PseudoInst<(outs), (ins tcGPR:$dst, variable_ops),
2104                        IIC_Br, []>, Requires<[IsNotIOS]>;
2105
2106     def TAILJMPdND : ARMPseudoExpand<(outs), (ins brtarget:$dst, variable_ops),
2107                    4, IIC_Br, [],
2108                    (Bcc br_target:$dst, (ops 14, zero_reg))>,
2109                    Requires<[IsARM, IsNotIOS]>;
2110
2111     def TAILJMPrND : ARMPseudoExpand<(outs), (ins tcGPR:$dst, variable_ops),
2112                      4, IIC_Br, [],
2113                      (BX GPR:$dst)>,
2114                      Requires<[IsARM, IsNotIOS]>;
2115   }
2116 }
2117
2118 // Secure Monitor Call is a system instruction.
2119 def SMC : ABI<0b0001, (outs), (ins imm0_15:$opt), NoItinerary, "smc", "\t$opt",
2120               []> {
2121   bits<4> opt;
2122   let Inst{23-4} = 0b01100000000000000111;
2123   let Inst{3-0} = opt;
2124 }
2125
2126 // Supervisor Call (Software Interrupt)
2127 let isCall = 1, Uses = [SP] in {
2128 def SVC : ABI<0b1111, (outs), (ins imm24b:$svc), IIC_Br, "svc", "\t$svc", []> {
2129   bits<24> svc;
2130   let Inst{23-0} = svc;
2131 }
2132 }
2133
2134 // Store Return State
2135 class SRSI<bit wb, string asm>
2136   : XI<(outs), (ins imm0_31:$mode), AddrModeNone, 4, IndexModeNone, BrFrm,
2137        NoItinerary, asm, "", []> {
2138   bits<5> mode;
2139   let Inst{31-28} = 0b1111;
2140   let Inst{27-25} = 0b100;
2141   let Inst{22} = 1;
2142   let Inst{21} = wb;
2143   let Inst{20} = 0;
2144   let Inst{19-16} = 0b1101;  // SP
2145   let Inst{15-5} = 0b00000101000;
2146   let Inst{4-0} = mode;
2147 }
2148
2149 def SRSDA : SRSI<0, "srsda\tsp, $mode"> {
2150   let Inst{24-23} = 0;
2151 }
2152 def SRSDA_UPD : SRSI<1, "srsda\tsp!, $mode"> {
2153   let Inst{24-23} = 0;
2154 }
2155 def SRSDB : SRSI<0, "srsdb\tsp, $mode"> {
2156   let Inst{24-23} = 0b10;
2157 }
2158 def SRSDB_UPD : SRSI<1, "srsdb\tsp!, $mode"> {
2159   let Inst{24-23} = 0b10;
2160 }
2161 def SRSIA : SRSI<0, "srsia\tsp, $mode"> {
2162   let Inst{24-23} = 0b01;
2163 }
2164 def SRSIA_UPD : SRSI<1, "srsia\tsp!, $mode"> {
2165   let Inst{24-23} = 0b01;
2166 }
2167 def SRSIB : SRSI<0, "srsib\tsp, $mode"> {
2168   let Inst{24-23} = 0b11;
2169 }
2170 def SRSIB_UPD : SRSI<1, "srsib\tsp!, $mode"> {
2171   let Inst{24-23} = 0b11;
2172 }
2173
2174 // Return From Exception
2175 class RFEI<bit wb, string asm>
2176   : XI<(outs), (ins GPR:$Rn), AddrModeNone, 4, IndexModeNone, BrFrm,
2177        NoItinerary, asm, "", []> {
2178   bits<4> Rn;
2179   let Inst{31-28} = 0b1111;
2180   let Inst{27-25} = 0b100;
2181   let Inst{22} = 0;
2182   let Inst{21} = wb;
2183   let Inst{20} = 1;
2184   let Inst{19-16} = Rn;
2185   let Inst{15-0} = 0xa00;
2186 }
2187
2188 def RFEDA : RFEI<0, "rfeda\t$Rn"> {
2189   let Inst{24-23} = 0;
2190 }
2191 def RFEDA_UPD : RFEI<1, "rfeda\t$Rn!"> {
2192   let Inst{24-23} = 0;
2193 }
2194 def RFEDB : RFEI<0, "rfedb\t$Rn"> {
2195   let Inst{24-23} = 0b10;
2196 }
2197 def RFEDB_UPD : RFEI<1, "rfedb\t$Rn!"> {
2198   let Inst{24-23} = 0b10;
2199 }
2200 def RFEIA : RFEI<0, "rfeia\t$Rn"> {
2201   let Inst{24-23} = 0b01;
2202 }
2203 def RFEIA_UPD : RFEI<1, "rfeia\t$Rn!"> {
2204   let Inst{24-23} = 0b01;
2205 }
2206 def RFEIB : RFEI<0, "rfeib\t$Rn"> {
2207   let Inst{24-23} = 0b11;
2208 }
2209 def RFEIB_UPD : RFEI<1, "rfeib\t$Rn!"> {
2210   let Inst{24-23} = 0b11;
2211 }
2212
2213 //===----------------------------------------------------------------------===//
2214 //  Load / Store Instructions.
2215 //
2216
2217 // Load
2218
2219
2220 defm LDR  : AI_ldr1<0, "ldr", IIC_iLoad_r, IIC_iLoad_si,
2221                     UnOpFrag<(load node:$Src)>>;
2222 defm LDRB : AI_ldr1nopc<1, "ldrb", IIC_iLoad_bh_r, IIC_iLoad_bh_si,
2223                     UnOpFrag<(zextloadi8 node:$Src)>>;
2224 defm STR  : AI_str1<0, "str", IIC_iStore_r, IIC_iStore_si,
2225                    BinOpFrag<(store node:$LHS, node:$RHS)>>;
2226 defm STRB : AI_str1nopc<1, "strb", IIC_iStore_bh_r, IIC_iStore_bh_si,
2227                    BinOpFrag<(truncstorei8 node:$LHS, node:$RHS)>>;
2228
2229 // Special LDR for loads from non-pc-relative constpools.
2230 let canFoldAsLoad = 1, mayLoad = 1, neverHasSideEffects = 1,
2231     isReMaterializable = 1, isCodeGenOnly = 1 in
2232 def LDRcp : AI2ldst<0b010, 1, 0, (outs GPR:$Rt), (ins addrmode_imm12:$addr),
2233                  AddrMode_i12, LdFrm, IIC_iLoad_r, "ldr", "\t$Rt, $addr",
2234                  []> {
2235   bits<4> Rt;
2236   bits<17> addr;
2237   let Inst{23}    = addr{12};     // U (add = ('U' == 1))
2238   let Inst{19-16} = 0b1111;
2239   let Inst{15-12} = Rt;
2240   let Inst{11-0}  = addr{11-0};   // imm12
2241 }
2242
2243 // Loads with zero extension
2244 def LDRH  : AI3ld<0b1011, 1, (outs GPR:$Rt), (ins addrmode3:$addr), LdMiscFrm,
2245                   IIC_iLoad_bh_r, "ldrh", "\t$Rt, $addr",
2246                   [(set GPR:$Rt, (zextloadi16 addrmode3:$addr))]>;
2247
2248 // Loads with sign extension
2249 def LDRSH : AI3ld<0b1111, 1, (outs GPR:$Rt), (ins addrmode3:$addr), LdMiscFrm,
2250                    IIC_iLoad_bh_r, "ldrsh", "\t$Rt, $addr",
2251                    [(set GPR:$Rt, (sextloadi16 addrmode3:$addr))]>;
2252
2253 def LDRSB : AI3ld<0b1101, 1, (outs GPR:$Rt), (ins addrmode3:$addr), LdMiscFrm,
2254                    IIC_iLoad_bh_r, "ldrsb", "\t$Rt, $addr",
2255                    [(set GPR:$Rt, (sextloadi8 addrmode3:$addr))]>;
2256
2257 let mayLoad = 1, neverHasSideEffects = 1, hasExtraDefRegAllocReq = 1 in {
2258 // Load doubleword
2259 def LDRD : AI3ld<0b1101, 0, (outs GPR:$Rd, GPR:$dst2),
2260                  (ins addrmode3:$addr), LdMiscFrm,
2261                  IIC_iLoad_d_r, "ldrd", "\t$Rd, $dst2, $addr",
2262                  []>, Requires<[IsARM, HasV5TE]>;
2263 }
2264
2265 // Indexed loads
2266 multiclass AI2_ldridx<bit isByte, string opc,
2267                       InstrItinClass iii, InstrItinClass iir> {
2268   def _PRE_IMM  : AI2ldstidx<1, isByte, 1, (outs GPR:$Rt, GPR:$Rn_wb),
2269                       (ins addrmode_imm12:$addr), IndexModePre, LdFrm, iii,
2270                       opc, "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2271     bits<17> addr;
2272     let Inst{25} = 0;
2273     let Inst{23} = addr{12};
2274     let Inst{19-16} = addr{16-13};
2275     let Inst{11-0} = addr{11-0};
2276     let DecoderMethod = "DecodeLDRPreImm";
2277     let AsmMatchConverter = "cvtLdWriteBackRegAddrModeImm12";
2278   }
2279
2280   def _PRE_REG  : AI2ldstidx<1, isByte, 1, (outs GPR:$Rt, GPR:$Rn_wb),
2281                       (ins ldst_so_reg:$addr), IndexModePre, LdFrm, iir,
2282                       opc, "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2283     bits<17> addr;
2284     let Inst{25} = 1;
2285     let Inst{23} = addr{12};
2286     let Inst{19-16} = addr{16-13};
2287     let Inst{11-0} = addr{11-0};
2288     let Inst{4} = 0;
2289     let DecoderMethod = "DecodeLDRPreReg";
2290     let AsmMatchConverter = "cvtLdWriteBackRegAddrMode2";
2291   }
2292
2293   def _POST_REG : AI2ldstidx<1, isByte, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2294                        (ins addr_offset_none:$addr, am2offset_reg:$offset),
2295                        IndexModePost, LdFrm, iir,
2296                        opc, "\t$Rt, $addr, $offset",
2297                        "$addr.base = $Rn_wb", []> {
2298      // {12}     isAdd
2299      // {11-0}   imm12/Rm
2300      bits<14> offset;
2301      bits<4> addr;
2302      let Inst{25} = 1;
2303      let Inst{23} = offset{12};
2304      let Inst{19-16} = addr;
2305      let Inst{11-0} = offset{11-0};
2306
2307     let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2308    }
2309
2310    def _POST_IMM : AI2ldstidx<1, isByte, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2311                        (ins addr_offset_none:$addr, am2offset_imm:$offset),
2312                       IndexModePost, LdFrm, iii,
2313                       opc, "\t$Rt, $addr, $offset",
2314                       "$addr.base = $Rn_wb", []> {
2315     // {12}     isAdd
2316     // {11-0}   imm12/Rm
2317     bits<14> offset;
2318     bits<4> addr;
2319     let Inst{25} = 0;
2320     let Inst{23} = offset{12};
2321     let Inst{19-16} = addr;
2322     let Inst{11-0} = offset{11-0};
2323
2324     let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2325   }
2326
2327 }
2328
2329 let mayLoad = 1, neverHasSideEffects = 1 in {
2330 // FIXME: for LDR_PRE_REG etc. the itineray should be either IIC_iLoad_ru or
2331 // IIC_iLoad_siu depending on whether it the offset register is shifted.
2332 defm LDR  : AI2_ldridx<0, "ldr", IIC_iLoad_iu, IIC_iLoad_ru>;
2333 defm LDRB : AI2_ldridx<1, "ldrb", IIC_iLoad_bh_iu, IIC_iLoad_bh_ru>;
2334 }
2335
2336 multiclass AI3_ldridx<bits<4> op, string opc, InstrItinClass itin> {
2337   def _PRE  : AI3ldstidx<op, 1, 1, (outs GPR:$Rt, GPR:$Rn_wb),
2338                         (ins addrmode3:$addr), IndexModePre,
2339                         LdMiscFrm, itin,
2340                         opc, "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2341     bits<14> addr;
2342     let Inst{23}    = addr{8};      // U bit
2343     let Inst{22}    = addr{13};     // 1 == imm8, 0 == Rm
2344     let Inst{19-16} = addr{12-9};   // Rn
2345     let Inst{11-8}  = addr{7-4};    // imm7_4/zero
2346     let Inst{3-0}   = addr{3-0};    // imm3_0/Rm
2347     let AsmMatchConverter = "cvtLdWriteBackRegAddrMode3";
2348     let DecoderMethod = "DecodeAddrMode3Instruction";
2349   }
2350   def _POST : AI3ldstidx<op, 1, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2351                         (ins addr_offset_none:$addr, am3offset:$offset),
2352                         IndexModePost, LdMiscFrm, itin,
2353                         opc, "\t$Rt, $addr, $offset", "$addr.base = $Rn_wb",
2354                         []> {
2355     bits<10> offset;
2356     bits<4> addr;
2357     let Inst{23}    = offset{8};      // U bit
2358     let Inst{22}    = offset{9};      // 1 == imm8, 0 == Rm
2359     let Inst{19-16} = addr;
2360     let Inst{11-8}  = offset{7-4};    // imm7_4/zero
2361     let Inst{3-0}   = offset{3-0};    // imm3_0/Rm
2362     let DecoderMethod = "DecodeAddrMode3Instruction";
2363   }
2364 }
2365
2366 let mayLoad = 1, neverHasSideEffects = 1 in {
2367 defm LDRH  : AI3_ldridx<0b1011, "ldrh", IIC_iLoad_bh_ru>;
2368 defm LDRSH : AI3_ldridx<0b1111, "ldrsh", IIC_iLoad_bh_ru>;
2369 defm LDRSB : AI3_ldridx<0b1101, "ldrsb", IIC_iLoad_bh_ru>;
2370 let hasExtraDefRegAllocReq = 1 in {
2371 def LDRD_PRE : AI3ldstidx<0b1101, 0, 1, (outs GPR:$Rt, GPR:$Rt2, GPR:$Rn_wb),
2372                           (ins addrmode3:$addr), IndexModePre,
2373                           LdMiscFrm, IIC_iLoad_d_ru,
2374                           "ldrd", "\t$Rt, $Rt2, $addr!",
2375                           "$addr.base = $Rn_wb", []> {
2376   bits<14> addr;
2377   let Inst{23}    = addr{8};      // U bit
2378   let Inst{22}    = addr{13};     // 1 == imm8, 0 == Rm
2379   let Inst{19-16} = addr{12-9};   // Rn
2380   let Inst{11-8}  = addr{7-4};    // imm7_4/zero
2381   let Inst{3-0}   = addr{3-0};    // imm3_0/Rm
2382   let DecoderMethod = "DecodeAddrMode3Instruction";
2383   let AsmMatchConverter = "cvtLdrdPre";
2384 }
2385 def LDRD_POST: AI3ldstidx<0b1101, 0, 0, (outs GPR:$Rt, GPR:$Rt2, GPR:$Rn_wb),
2386                           (ins addr_offset_none:$addr, am3offset:$offset),
2387                           IndexModePost, LdMiscFrm, IIC_iLoad_d_ru,
2388                           "ldrd", "\t$Rt, $Rt2, $addr, $offset",
2389                           "$addr.base = $Rn_wb", []> {
2390   bits<10> offset;
2391   bits<4> addr;
2392   let Inst{23}    = offset{8};      // U bit
2393   let Inst{22}    = offset{9};      // 1 == imm8, 0 == Rm
2394   let Inst{19-16} = addr;
2395   let Inst{11-8}  = offset{7-4};    // imm7_4/zero
2396   let Inst{3-0}   = offset{3-0};    // imm3_0/Rm
2397   let DecoderMethod = "DecodeAddrMode3Instruction";
2398 }
2399 } // hasExtraDefRegAllocReq = 1
2400 } // mayLoad = 1, neverHasSideEffects = 1
2401
2402 // LDRT, LDRBT, LDRSBT, LDRHT, LDRSHT.
2403 let mayLoad = 1, neverHasSideEffects = 1 in {
2404 def LDRT_POST_REG : AI2ldstidx<1, 0, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2405                     (ins addr_offset_none:$addr, am2offset_reg:$offset),
2406                     IndexModePost, LdFrm, IIC_iLoad_ru,
2407                     "ldrt", "\t$Rt, $addr, $offset",
2408                     "$addr.base = $Rn_wb", []> {
2409   // {12}     isAdd
2410   // {11-0}   imm12/Rm
2411   bits<14> offset;
2412   bits<4> addr;
2413   let Inst{25} = 1;
2414   let Inst{23} = offset{12};
2415   let Inst{21} = 1; // overwrite
2416   let Inst{19-16} = addr;
2417   let Inst{11-5} = offset{11-5};
2418   let Inst{4} = 0;
2419   let Inst{3-0} = offset{3-0};
2420   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2421 }
2422
2423 def LDRT_POST_IMM : AI2ldstidx<1, 0, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2424                     (ins addr_offset_none:$addr, am2offset_imm:$offset),
2425                    IndexModePost, LdFrm, IIC_iLoad_ru,
2426                    "ldrt", "\t$Rt, $addr, $offset",
2427                    "$addr.base = $Rn_wb", []> {
2428   // {12}     isAdd
2429   // {11-0}   imm12/Rm
2430   bits<14> offset;
2431   bits<4> addr;
2432   let Inst{25} = 0;
2433   let Inst{23} = offset{12};
2434   let Inst{21} = 1; // overwrite
2435   let Inst{19-16} = addr;
2436   let Inst{11-0} = offset{11-0};
2437   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2438 }
2439
2440 def LDRBT_POST_REG : AI2ldstidx<1, 1, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2441                      (ins addr_offset_none:$addr, am2offset_reg:$offset),
2442                      IndexModePost, LdFrm, IIC_iLoad_bh_ru,
2443                      "ldrbt", "\t$Rt, $addr, $offset",
2444                      "$addr.base = $Rn_wb", []> {
2445   // {12}     isAdd
2446   // {11-0}   imm12/Rm
2447   bits<14> offset;
2448   bits<4> addr;
2449   let Inst{25} = 1;
2450   let Inst{23} = offset{12};
2451   let Inst{21} = 1; // overwrite
2452   let Inst{19-16} = addr;
2453   let Inst{11-5} = offset{11-5};
2454   let Inst{4} = 0;
2455   let Inst{3-0} = offset{3-0};
2456   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2457 }
2458
2459 def LDRBT_POST_IMM : AI2ldstidx<1, 1, 0, (outs GPR:$Rt, GPR:$Rn_wb),
2460                      (ins addr_offset_none:$addr, am2offset_imm:$offset),
2461                     IndexModePost, LdFrm, IIC_iLoad_bh_ru,
2462                     "ldrbt", "\t$Rt, $addr, $offset",
2463                     "$addr.base = $Rn_wb", []> {
2464   // {12}     isAdd
2465   // {11-0}   imm12/Rm
2466   bits<14> offset;
2467   bits<4> addr;
2468   let Inst{25} = 0;
2469   let Inst{23} = offset{12};
2470   let Inst{21} = 1; // overwrite
2471   let Inst{19-16} = addr;
2472   let Inst{11-0} = offset{11-0};
2473   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2474 }
2475
2476 multiclass AI3ldrT<bits<4> op, string opc> {
2477   def i : AI3ldstidxT<op, 1, (outs GPR:$Rt, GPR:$base_wb),
2478                       (ins addr_offset_none:$addr, postidx_imm8:$offset),
2479                       IndexModePost, LdMiscFrm, IIC_iLoad_bh_ru, opc,
2480                       "\t$Rt, $addr, $offset", "$addr.base = $base_wb", []> {
2481     bits<9> offset;
2482     let Inst{23} = offset{8};
2483     let Inst{22} = 1;
2484     let Inst{11-8} = offset{7-4};
2485     let Inst{3-0} = offset{3-0};
2486     let AsmMatchConverter = "cvtLdExtTWriteBackImm";
2487   }
2488   def r : AI3ldstidxT<op, 1, (outs GPRnopc:$Rt, GPRnopc:$base_wb),
2489                       (ins addr_offset_none:$addr, postidx_reg:$Rm),
2490                       IndexModePost, LdMiscFrm, IIC_iLoad_bh_ru, opc,
2491                       "\t$Rt, $addr, $Rm", "$addr.base = $base_wb", []> {
2492     bits<5> Rm;
2493     let Inst{23} = Rm{4};
2494     let Inst{22} = 0;
2495     let Inst{11-8} = 0;
2496     let Unpredictable{11-8} = 0b1111;
2497     let Inst{3-0} = Rm{3-0};
2498     let AsmMatchConverter = "cvtLdExtTWriteBackReg";
2499     let DecoderMethod = "DecodeLDR";
2500   }
2501 }
2502
2503 defm LDRSBT : AI3ldrT<0b1101, "ldrsbt">;
2504 defm LDRHT  : AI3ldrT<0b1011, "ldrht">;
2505 defm LDRSHT : AI3ldrT<0b1111, "ldrsht">;
2506 }
2507
2508 // Store
2509
2510 // Stores with truncate
2511 def STRH : AI3str<0b1011, (outs), (ins GPR:$Rt, addrmode3:$addr), StMiscFrm,
2512                IIC_iStore_bh_r, "strh", "\t$Rt, $addr",
2513                [(truncstorei16 GPR:$Rt, addrmode3:$addr)]>;
2514
2515 // Store doubleword
2516 let mayStore = 1, neverHasSideEffects = 1, hasExtraSrcRegAllocReq = 1 in
2517 def STRD : AI3str<0b1111, (outs), (ins GPR:$Rt, GPR:$src2, addrmode3:$addr),
2518                StMiscFrm, IIC_iStore_d_r,
2519                "strd", "\t$Rt, $src2, $addr", []>,
2520            Requires<[IsARM, HasV5TE]> {
2521   let Inst{21} = 0;
2522 }
2523
2524 // Indexed stores
2525 multiclass AI2_stridx<bit isByte, string opc,
2526                       InstrItinClass iii, InstrItinClass iir> {
2527   def _PRE_IMM : AI2ldstidx<0, isByte, 1, (outs GPR:$Rn_wb),
2528                             (ins GPR:$Rt, addrmode_imm12:$addr), IndexModePre,
2529                             StFrm, iii,
2530                             opc, "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2531     bits<17> addr;
2532     let Inst{25} = 0;
2533     let Inst{23}    = addr{12};     // U (add = ('U' == 1))
2534     let Inst{19-16} = addr{16-13};  // Rn
2535     let Inst{11-0}  = addr{11-0};   // imm12
2536     let AsmMatchConverter = "cvtStWriteBackRegAddrModeImm12";
2537     let DecoderMethod = "DecodeSTRPreImm";
2538   }
2539
2540   def _PRE_REG  : AI2ldstidx<0, isByte, 1, (outs GPR:$Rn_wb),
2541                       (ins GPR:$Rt, ldst_so_reg:$addr),
2542                       IndexModePre, StFrm, iir,
2543                       opc, "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2544     bits<17> addr;
2545     let Inst{25} = 1;
2546     let Inst{23}    = addr{12};    // U (add = ('U' == 1))
2547     let Inst{19-16} = addr{16-13}; // Rn
2548     let Inst{11-0}  = addr{11-0};
2549     let Inst{4}     = 0;           // Inst{4} = 0
2550     let AsmMatchConverter = "cvtStWriteBackRegAddrMode2";
2551     let DecoderMethod = "DecodeSTRPreReg";
2552   }
2553   def _POST_REG : AI2ldstidx<0, isByte, 0, (outs GPR:$Rn_wb),
2554                 (ins GPR:$Rt, addr_offset_none:$addr, am2offset_reg:$offset),
2555                 IndexModePost, StFrm, iir,
2556                 opc, "\t$Rt, $addr, $offset",
2557                 "$addr.base = $Rn_wb", []> {
2558      // {12}     isAdd
2559      // {11-0}   imm12/Rm
2560      bits<14> offset;
2561      bits<4> addr;
2562      let Inst{25} = 1;
2563      let Inst{23} = offset{12};
2564      let Inst{19-16} = addr;
2565      let Inst{11-0} = offset{11-0};
2566
2567     let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2568    }
2569
2570    def _POST_IMM : AI2ldstidx<0, isByte, 0, (outs GPR:$Rn_wb),
2571                 (ins GPR:$Rt, addr_offset_none:$addr, am2offset_imm:$offset),
2572                 IndexModePost, StFrm, iii,
2573                 opc, "\t$Rt, $addr, $offset",
2574                 "$addr.base = $Rn_wb", []> {
2575     // {12}     isAdd
2576     // {11-0}   imm12/Rm
2577     bits<14> offset;
2578     bits<4> addr;
2579     let Inst{25} = 0;
2580     let Inst{23} = offset{12};
2581     let Inst{19-16} = addr;
2582     let Inst{11-0} = offset{11-0};
2583
2584     let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2585   }
2586 }
2587
2588 let mayStore = 1, neverHasSideEffects = 1 in {
2589 // FIXME: for STR_PRE_REG etc. the itineray should be either IIC_iStore_ru or
2590 // IIC_iStore_siu depending on whether it the offset register is shifted.
2591 defm STR  : AI2_stridx<0, "str", IIC_iStore_iu, IIC_iStore_ru>;
2592 defm STRB : AI2_stridx<1, "strb", IIC_iStore_bh_iu, IIC_iStore_bh_ru>;
2593 }
2594
2595 def : ARMPat<(post_store GPR:$Rt, addr_offset_none:$addr,
2596                          am2offset_reg:$offset),
2597              (STR_POST_REG GPR:$Rt, addr_offset_none:$addr,
2598                            am2offset_reg:$offset)>;
2599 def : ARMPat<(post_store GPR:$Rt, addr_offset_none:$addr,
2600                          am2offset_imm:$offset),
2601              (STR_POST_IMM GPR:$Rt, addr_offset_none:$addr,
2602                            am2offset_imm:$offset)>;
2603 def : ARMPat<(post_truncsti8 GPR:$Rt, addr_offset_none:$addr,
2604                              am2offset_reg:$offset),
2605              (STRB_POST_REG GPR:$Rt, addr_offset_none:$addr,
2606                             am2offset_reg:$offset)>;
2607 def : ARMPat<(post_truncsti8 GPR:$Rt, addr_offset_none:$addr,
2608                              am2offset_imm:$offset),
2609              (STRB_POST_IMM GPR:$Rt, addr_offset_none:$addr,
2610                             am2offset_imm:$offset)>;
2611
2612 // Pseudo-instructions for pattern matching the pre-indexed stores. We can't
2613 // put the patterns on the instruction definitions directly as ISel wants
2614 // the address base and offset to be separate operands, not a single
2615 // complex operand like we represent the instructions themselves. The
2616 // pseudos map between the two.
2617 let usesCustomInserter = 1,
2618     Constraints = "$Rn = $Rn_wb,@earlyclobber $Rn_wb" in {
2619 def STRi_preidx: ARMPseudoInst<(outs GPR:$Rn_wb),
2620                (ins GPR:$Rt, GPR:$Rn, am2offset_imm:$offset, pred:$p),
2621                4, IIC_iStore_ru,
2622             [(set GPR:$Rn_wb,
2623                   (pre_store GPR:$Rt, GPR:$Rn, am2offset_imm:$offset))]>;
2624 def STRr_preidx: ARMPseudoInst<(outs GPR:$Rn_wb),
2625                (ins GPR:$Rt, GPR:$Rn, am2offset_reg:$offset, pred:$p),
2626                4, IIC_iStore_ru,
2627             [(set GPR:$Rn_wb,
2628                   (pre_store GPR:$Rt, GPR:$Rn, am2offset_reg:$offset))]>;
2629 def STRBi_preidx: ARMPseudoInst<(outs GPR:$Rn_wb),
2630                (ins GPR:$Rt, GPR:$Rn, am2offset_imm:$offset, pred:$p),
2631                4, IIC_iStore_ru,
2632             [(set GPR:$Rn_wb,
2633                   (pre_truncsti8 GPR:$Rt, GPR:$Rn, am2offset_imm:$offset))]>;
2634 def STRBr_preidx: ARMPseudoInst<(outs GPR:$Rn_wb),
2635                (ins GPR:$Rt, GPR:$Rn, am2offset_reg:$offset, pred:$p),
2636                4, IIC_iStore_ru,
2637             [(set GPR:$Rn_wb,
2638                   (pre_truncsti8 GPR:$Rt, GPR:$Rn, am2offset_reg:$offset))]>;
2639 def STRH_preidx: ARMPseudoInst<(outs GPR:$Rn_wb),
2640                (ins GPR:$Rt, GPR:$Rn, am3offset:$offset, pred:$p),
2641                4, IIC_iStore_ru,
2642             [(set GPR:$Rn_wb,
2643                   (pre_truncsti16 GPR:$Rt, GPR:$Rn, am3offset:$offset))]>;
2644 }
2645
2646
2647
2648 def STRH_PRE  : AI3ldstidx<0b1011, 0, 1, (outs GPR:$Rn_wb),
2649                            (ins GPR:$Rt, addrmode3:$addr), IndexModePre,
2650                            StMiscFrm, IIC_iStore_bh_ru,
2651                            "strh", "\t$Rt, $addr!", "$addr.base = $Rn_wb", []> {
2652   bits<14> addr;
2653   let Inst{23}    = addr{8};      // U bit
2654   let Inst{22}    = addr{13};     // 1 == imm8, 0 == Rm
2655   let Inst{19-16} = addr{12-9};   // Rn
2656   let Inst{11-8}  = addr{7-4};    // imm7_4/zero
2657   let Inst{3-0}   = addr{3-0};    // imm3_0/Rm
2658   let AsmMatchConverter = "cvtStWriteBackRegAddrMode3";
2659   let DecoderMethod = "DecodeAddrMode3Instruction";
2660 }
2661
2662 def STRH_POST : AI3ldstidx<0b1011, 0, 0, (outs GPR:$Rn_wb),
2663                        (ins GPR:$Rt, addr_offset_none:$addr, am3offset:$offset),
2664                        IndexModePost, StMiscFrm, IIC_iStore_bh_ru,
2665                        "strh", "\t$Rt, $addr, $offset", "$addr.base = $Rn_wb",
2666                    [(set GPR:$Rn_wb, (post_truncsti16 GPR:$Rt,
2667                                                       addr_offset_none:$addr,
2668                                                       am3offset:$offset))]> {
2669   bits<10> offset;
2670   bits<4> addr;
2671   let Inst{23}    = offset{8};      // U bit
2672   let Inst{22}    = offset{9};      // 1 == imm8, 0 == Rm
2673   let Inst{19-16} = addr;
2674   let Inst{11-8}  = offset{7-4};    // imm7_4/zero
2675   let Inst{3-0}   = offset{3-0};    // imm3_0/Rm
2676   let DecoderMethod = "DecodeAddrMode3Instruction";
2677 }
2678
2679 let mayStore = 1, neverHasSideEffects = 1, hasExtraSrcRegAllocReq = 1 in {
2680 def STRD_PRE : AI3ldstidx<0b1111, 0, 1, (outs GPR:$Rn_wb),
2681                           (ins GPR:$Rt, GPR:$Rt2, addrmode3:$addr),
2682                           IndexModePre, StMiscFrm, IIC_iStore_d_ru,
2683                           "strd", "\t$Rt, $Rt2, $addr!",
2684                           "$addr.base = $Rn_wb", []> {
2685   bits<14> addr;
2686   let Inst{23}    = addr{8};      // U bit
2687   let Inst{22}    = addr{13};     // 1 == imm8, 0 == Rm
2688   let Inst{19-16} = addr{12-9};   // Rn
2689   let Inst{11-8}  = addr{7-4};    // imm7_4/zero
2690   let Inst{3-0}   = addr{3-0};    // imm3_0/Rm
2691   let DecoderMethod = "DecodeAddrMode3Instruction";
2692   let AsmMatchConverter = "cvtStrdPre";
2693 }
2694
2695 def STRD_POST: AI3ldstidx<0b1111, 0, 0, (outs GPR:$Rn_wb),
2696                           (ins GPR:$Rt, GPR:$Rt2, addr_offset_none:$addr,
2697                                am3offset:$offset),
2698                           IndexModePost, StMiscFrm, IIC_iStore_d_ru,
2699                           "strd", "\t$Rt, $Rt2, $addr, $offset",
2700                           "$addr.base = $Rn_wb", []> {
2701   bits<10> offset;
2702   bits<4> addr;
2703   let Inst{23}    = offset{8};      // U bit
2704   let Inst{22}    = offset{9};      // 1 == imm8, 0 == Rm
2705   let Inst{19-16} = addr;
2706   let Inst{11-8}  = offset{7-4};    // imm7_4/zero
2707   let Inst{3-0}   = offset{3-0};    // imm3_0/Rm
2708   let DecoderMethod = "DecodeAddrMode3Instruction";
2709 }
2710 } // mayStore = 1, neverHasSideEffects = 1, hasExtraSrcRegAllocReq = 1
2711
2712 // STRT, STRBT, and STRHT
2713
2714 def STRBT_POST_REG : AI2ldstidx<0, 1, 0, (outs GPR:$Rn_wb),
2715                    (ins GPR:$Rt, addr_offset_none:$addr, am2offset_reg:$offset),
2716                    IndexModePost, StFrm, IIC_iStore_bh_ru,
2717                    "strbt", "\t$Rt, $addr, $offset",
2718                    "$addr.base = $Rn_wb", []> {
2719   // {12}     isAdd
2720   // {11-0}   imm12/Rm
2721   bits<14> offset;
2722   bits<4> addr;
2723   let Inst{25} = 1;
2724   let Inst{23} = offset{12};
2725   let Inst{21} = 1; // overwrite
2726   let Inst{19-16} = addr;
2727   let Inst{11-5} = offset{11-5};
2728   let Inst{4} = 0;
2729   let Inst{3-0} = offset{3-0};
2730   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2731 }
2732
2733 def STRBT_POST_IMM : AI2ldstidx<0, 1, 0, (outs GPR:$Rn_wb),
2734                    (ins GPR:$Rt, addr_offset_none:$addr, am2offset_imm:$offset),
2735                    IndexModePost, StFrm, IIC_iStore_bh_ru,
2736                    "strbt", "\t$Rt, $addr, $offset",
2737                    "$addr.base = $Rn_wb", []> {
2738   // {12}     isAdd
2739   // {11-0}   imm12/Rm
2740   bits<14> offset;
2741   bits<4> addr;
2742   let Inst{25} = 0;
2743   let Inst{23} = offset{12};
2744   let Inst{21} = 1; // overwrite
2745   let Inst{19-16} = addr;
2746   let Inst{11-0} = offset{11-0};
2747   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2748 }
2749
2750 let mayStore = 1, neverHasSideEffects = 1 in {
2751 def STRT_POST_REG : AI2ldstidx<0, 0, 0, (outs GPR:$Rn_wb),
2752                    (ins GPR:$Rt, addr_offset_none:$addr, am2offset_reg:$offset),
2753                    IndexModePost, StFrm, IIC_iStore_ru,
2754                    "strt", "\t$Rt, $addr, $offset",
2755                    "$addr.base = $Rn_wb", []> {
2756   // {12}     isAdd
2757   // {11-0}   imm12/Rm
2758   bits<14> offset;
2759   bits<4> addr;
2760   let Inst{25} = 1;
2761   let Inst{23} = offset{12};
2762   let Inst{21} = 1; // overwrite
2763   let Inst{19-16} = addr;
2764   let Inst{11-5} = offset{11-5};
2765   let Inst{4} = 0;
2766   let Inst{3-0} = offset{3-0};
2767   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2768 }
2769
2770 def STRT_POST_IMM : AI2ldstidx<0, 0, 0, (outs GPR:$Rn_wb),
2771                    (ins GPR:$Rt, addr_offset_none:$addr, am2offset_imm:$offset),
2772                    IndexModePost, StFrm, IIC_iStore_ru,
2773                    "strt", "\t$Rt, $addr, $offset",
2774                    "$addr.base = $Rn_wb", []> {
2775   // {12}     isAdd
2776   // {11-0}   imm12/Rm
2777   bits<14> offset;
2778   bits<4> addr;
2779   let Inst{25} = 0;
2780   let Inst{23} = offset{12};
2781   let Inst{21} = 1; // overwrite
2782   let Inst{19-16} = addr;
2783   let Inst{11-0} = offset{11-0};
2784   let DecoderMethod = "DecodeAddrMode2IdxInstruction";
2785 }
2786 }
2787
2788
2789 multiclass AI3strT<bits<4> op, string opc> {
2790   def i : AI3ldstidxT<op, 0, (outs GPR:$base_wb),
2791                     (ins GPR:$Rt, addr_offset_none:$addr, postidx_imm8:$offset),
2792                     IndexModePost, StMiscFrm, IIC_iStore_bh_ru, opc,
2793                     "\t$Rt, $addr, $offset", "$addr.base = $base_wb", []> {
2794     bits<9> offset;
2795     let Inst{23} = offset{8};
2796     let Inst{22} = 1;
2797     let Inst{11-8} = offset{7-4};
2798     let Inst{3-0} = offset{3-0};
2799     let AsmMatchConverter = "cvtStExtTWriteBackImm";
2800   }
2801   def r : AI3ldstidxT<op, 0, (outs GPR:$base_wb),
2802                       (ins GPR:$Rt, addr_offset_none:$addr, postidx_reg:$Rm),
2803                       IndexModePost, StMiscFrm, IIC_iStore_bh_ru, opc,
2804                       "\t$Rt, $addr, $Rm", "$addr.base = $base_wb", []> {
2805     bits<5> Rm;
2806     let Inst{23} = Rm{4};
2807     let Inst{22} = 0;
2808     let Inst{11-8} = 0;
2809     let Inst{3-0} = Rm{3-0};
2810     let AsmMatchConverter = "cvtStExtTWriteBackReg";
2811   }
2812 }
2813
2814
2815 defm STRHT : AI3strT<0b1011, "strht">;
2816
2817
2818 //===----------------------------------------------------------------------===//
2819 //  Load / store multiple Instructions.
2820 //
2821
2822 multiclass arm_ldst_mult<string asm, string sfx, bit L_bit, bit P_bit, Format f,
2823                          InstrItinClass itin, InstrItinClass itin_upd> {
2824   // IA is the default, so no need for an explicit suffix on the
2825   // mnemonic here. Without it is the cannonical spelling.
2826   def IA :
2827     AXI4<(outs), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2828          IndexModeNone, f, itin,
2829          !strconcat(asm, "${p}\t$Rn, $regs", sfx), "", []> {
2830     let Inst{24-23} = 0b01;       // Increment After
2831     let Inst{22}    = P_bit;
2832     let Inst{21}    = 0;          // No writeback
2833     let Inst{20}    = L_bit;
2834   }
2835   def IA_UPD :
2836     AXI4<(outs GPR:$wb), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2837          IndexModeUpd, f, itin_upd,
2838          !strconcat(asm, "${p}\t$Rn!, $regs", sfx), "$Rn = $wb", []> {
2839     let Inst{24-23} = 0b01;       // Increment After
2840     let Inst{22}    = P_bit;
2841     let Inst{21}    = 1;          // Writeback
2842     let Inst{20}    = L_bit;
2843
2844     let DecoderMethod = "DecodeMemMultipleWritebackInstruction";
2845   }
2846   def DA :
2847     AXI4<(outs), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2848          IndexModeNone, f, itin,
2849          !strconcat(asm, "da${p}\t$Rn, $regs", sfx), "", []> {
2850     let Inst{24-23} = 0b00;       // Decrement After
2851     let Inst{22}    = P_bit;
2852     let Inst{21}    = 0;          // No writeback
2853     let Inst{20}    = L_bit;
2854   }
2855   def DA_UPD :
2856     AXI4<(outs GPR:$wb), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2857          IndexModeUpd, f, itin_upd,
2858          !strconcat(asm, "da${p}\t$Rn!, $regs", sfx), "$Rn = $wb", []> {
2859     let Inst{24-23} = 0b00;       // Decrement After
2860     let Inst{22}    = P_bit;
2861     let Inst{21}    = 1;          // Writeback
2862     let Inst{20}    = L_bit;
2863
2864     let DecoderMethod = "DecodeMemMultipleWritebackInstruction";
2865   }
2866   def DB :
2867     AXI4<(outs), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2868          IndexModeNone, f, itin,
2869          !strconcat(asm, "db${p}\t$Rn, $regs", sfx), "", []> {
2870     let Inst{24-23} = 0b10;       // Decrement Before
2871     let Inst{22}    = P_bit;
2872     let Inst{21}    = 0;          // No writeback
2873     let Inst{20}    = L_bit;
2874   }
2875   def DB_UPD :
2876     AXI4<(outs GPR:$wb), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2877          IndexModeUpd, f, itin_upd,
2878          !strconcat(asm, "db${p}\t$Rn!, $regs", sfx), "$Rn = $wb", []> {
2879     let Inst{24-23} = 0b10;       // Decrement Before
2880     let Inst{22}    = P_bit;
2881     let Inst{21}    = 1;          // Writeback
2882     let Inst{20}    = L_bit;
2883
2884     let DecoderMethod = "DecodeMemMultipleWritebackInstruction";
2885   }
2886   def IB :
2887     AXI4<(outs), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2888          IndexModeNone, f, itin,
2889          !strconcat(asm, "ib${p}\t$Rn, $regs", sfx), "", []> {
2890     let Inst{24-23} = 0b11;       // Increment Before
2891     let Inst{22}    = P_bit;
2892     let Inst{21}    = 0;          // No writeback
2893     let Inst{20}    = L_bit;
2894   }
2895   def IB_UPD :
2896     AXI4<(outs GPR:$wb), (ins GPR:$Rn, pred:$p, reglist:$regs, variable_ops),
2897          IndexModeUpd, f, itin_upd,
2898          !strconcat(asm, "ib${p}\t$Rn!, $regs", sfx), "$Rn = $wb", []> {
2899     let Inst{24-23} = 0b11;       // Increment Before
2900     let Inst{22}    = P_bit;
2901     let Inst{21}    = 1;          // Writeback
2902     let Inst{20}    = L_bit;
2903
2904     let DecoderMethod = "DecodeMemMultipleWritebackInstruction";
2905   }
2906 }
2907
2908 let neverHasSideEffects = 1 in {
2909
2910 let mayLoad = 1, hasExtraDefRegAllocReq = 1 in
2911 defm LDM : arm_ldst_mult<"ldm", "", 1, 0, LdStMulFrm, IIC_iLoad_m,
2912                          IIC_iLoad_mu>;
2913
2914 let mayStore = 1, hasExtraSrcRegAllocReq = 1 in
2915 defm STM : arm_ldst_mult<"stm", "", 0, 0, LdStMulFrm, IIC_iStore_m,
2916                          IIC_iStore_mu>;
2917
2918 } // neverHasSideEffects
2919
2920 // FIXME: remove when we have a way to marking a MI with these properties.
2921 // FIXME: Should pc be an implicit operand like PICADD, etc?
2922 let isReturn = 1, isTerminator = 1, isBarrier = 1, mayLoad = 1,
2923     hasExtraDefRegAllocReq = 1, isCodeGenOnly = 1 in
2924 def LDMIA_RET : ARMPseudoExpand<(outs GPR:$wb), (ins GPR:$Rn, pred:$p,
2925                                                  reglist:$regs, variable_ops),
2926                      4, IIC_iLoad_mBr, [],
2927                      (LDMIA_UPD GPR:$wb, GPR:$Rn, pred:$p, reglist:$regs)>,
2928       RegConstraint<"$Rn = $wb">;
2929
2930 let mayLoad = 1, hasExtraDefRegAllocReq = 1 in
2931 defm sysLDM : arm_ldst_mult<"ldm", " ^", 1, 1, LdStMulFrm, IIC_iLoad_m,
2932                                IIC_iLoad_mu>;
2933
2934 let mayStore = 1, hasExtraSrcRegAllocReq = 1 in
2935 defm sysSTM : arm_ldst_mult<"stm", " ^", 0, 1, LdStMulFrm, IIC_iStore_m,
2936                                IIC_iStore_mu>;
2937
2938
2939
2940 //===----------------------------------------------------------------------===//
2941 //  Move Instructions.
2942 //
2943
2944 let neverHasSideEffects = 1 in
2945 def MOVr : AsI1<0b1101, (outs GPR:$Rd), (ins GPR:$Rm), DPFrm, IIC_iMOVr,
2946                 "mov", "\t$Rd, $Rm", []>, UnaryDP {
2947   bits<4> Rd;
2948   bits<4> Rm;
2949
2950   let Inst{19-16} = 0b0000;
2951   let Inst{11-4} = 0b00000000;
2952   let Inst{25} = 0;
2953   let Inst{3-0} = Rm;
2954   let Inst{15-12} = Rd;
2955 }
2956
2957 def : ARMInstAlias<"movs${p} $Rd, $Rm",
2958                    (MOVr GPR:$Rd, GPR:$Rm, pred:$p, CPSR)>;
2959
2960 // A version for the smaller set of tail call registers.
2961 let neverHasSideEffects = 1 in
2962 def MOVr_TC : AsI1<0b1101, (outs tcGPR:$Rd), (ins tcGPR:$Rm), DPFrm,
2963                 IIC_iMOVr, "mov", "\t$Rd, $Rm", []>, UnaryDP {
2964   bits<4> Rd;
2965   bits<4> Rm;
2966
2967   let Inst{11-4} = 0b00000000;
2968   let Inst{25} = 0;
2969   let Inst{3-0} = Rm;
2970   let Inst{15-12} = Rd;
2971 }
2972
2973 def MOVsr : AsI1<0b1101, (outs GPRnopc:$Rd), (ins shift_so_reg_reg:$src),
2974                 DPSoRegRegFrm, IIC_iMOVsr,
2975                 "mov", "\t$Rd, $src",
2976                 [(set GPRnopc:$Rd, shift_so_reg_reg:$src)]>, UnaryDP {
2977   bits<4> Rd;
2978   bits<12> src;
2979   let Inst{15-12} = Rd;
2980   let Inst{19-16} = 0b0000;
2981   let Inst{11-8} = src{11-8};
2982   let Inst{7} = 0;
2983   let Inst{6-5} = src{6-5};
2984   let Inst{4} = 1;
2985   let Inst{3-0} = src{3-0};
2986   let Inst{25} = 0;
2987 }
2988
2989 def MOVsi : AsI1<0b1101, (outs GPR:$Rd), (ins shift_so_reg_imm:$src),
2990                 DPSoRegImmFrm, IIC_iMOVsr,
2991                 "mov", "\t$Rd, $src", [(set GPR:$Rd, shift_so_reg_imm:$src)]>,
2992                 UnaryDP {
2993   bits<4> Rd;
2994   bits<12> src;
2995   let Inst{15-12} = Rd;
2996   let Inst{19-16} = 0b0000;
2997   let Inst{11-5} = src{11-5};
2998   let Inst{4} = 0;
2999   let Inst{3-0} = src{3-0};
3000   let Inst{25} = 0;
3001 }
3002
3003 let isReMaterializable = 1, isAsCheapAsAMove = 1, isMoveImm = 1 in
3004 def MOVi : AsI1<0b1101, (outs GPR:$Rd), (ins so_imm:$imm), DPFrm, IIC_iMOVi,
3005                 "mov", "\t$Rd, $imm", [(set GPR:$Rd, so_imm:$imm)]>, UnaryDP {
3006   bits<4> Rd;
3007   bits<12> imm;
3008   let Inst{25} = 1;
3009   let Inst{15-12} = Rd;
3010   let Inst{19-16} = 0b0000;
3011   let Inst{11-0} = imm;
3012 }
3013
3014 let isReMaterializable = 1, isAsCheapAsAMove = 1, isMoveImm = 1 in
3015 def MOVi16 : AI1<0b1000, (outs GPR:$Rd), (ins imm0_65535_expr:$imm),
3016                  DPFrm, IIC_iMOVi,
3017                  "movw", "\t$Rd, $imm",
3018                  [(set GPR:$Rd, imm0_65535:$imm)]>,
3019                  Requires<[IsARM, HasV6T2]>, UnaryDP {
3020   bits<4> Rd;
3021   bits<16> imm;
3022   let Inst{15-12} = Rd;
3023   let Inst{11-0}  = imm{11-0};
3024   let Inst{19-16} = imm{15-12};
3025   let Inst{20} = 0;
3026   let Inst{25} = 1;
3027   let DecoderMethod = "DecodeArmMOVTWInstruction";
3028 }
3029
3030 def : InstAlias<"mov${p} $Rd, $imm",
3031                 (MOVi16 GPR:$Rd, imm0_65535_expr:$imm, pred:$p)>,
3032         Requires<[IsARM]>;
3033
3034 def MOVi16_ga_pcrel : PseudoInst<(outs GPR:$Rd),
3035                                 (ins i32imm:$addr, pclabel:$id), IIC_iMOVi, []>;
3036
3037 let Constraints = "$src = $Rd" in {
3038 def MOVTi16 : AI1<0b1010, (outs GPRnopc:$Rd),
3039                   (ins GPR:$src, imm0_65535_expr:$imm),
3040                   DPFrm, IIC_iMOVi,
3041                   "movt", "\t$Rd, $imm",
3042                   [(set GPRnopc:$Rd,
3043                         (or (and GPR:$src, 0xffff),
3044                             lo16AllZero:$imm))]>, UnaryDP,
3045                   Requires<[IsARM, HasV6T2]> {
3046   bits<4> Rd;
3047   bits<16> imm;
3048   let Inst{15-12} = Rd;
3049   let Inst{11-0}  = imm{11-0};
3050   let Inst{19-16} = imm{15-12};
3051   let Inst{20} = 0;
3052   let Inst{25} = 1;
3053   let DecoderMethod = "DecodeArmMOVTWInstruction";
3054 }
3055
3056 def MOVTi16_ga_pcrel : PseudoInst<(outs GPR:$Rd),
3057                       (ins GPR:$src, i32imm:$addr, pclabel:$id), IIC_iMOVi, []>;
3058
3059 } // Constraints
3060
3061 def : ARMPat<(or GPR:$src, 0xffff0000), (MOVTi16 GPR:$src, 0xffff)>,
3062       Requires<[IsARM, HasV6T2]>;
3063
3064 let Uses = [CPSR] in
3065 def RRX: PseudoInst<(outs GPR:$Rd), (ins GPR:$Rm), IIC_iMOVsi,
3066                     [(set GPR:$Rd, (ARMrrx GPR:$Rm))]>, UnaryDP,
3067                     Requires<[IsARM]>;
3068
3069 // These aren't really mov instructions, but we have to define them this way
3070 // due to flag operands.
3071
3072 let Defs = [CPSR] in {
3073 def MOVsrl_flag : PseudoInst<(outs GPR:$dst), (ins GPR:$src), IIC_iMOVsi,
3074                       [(set GPR:$dst, (ARMsrl_flag GPR:$src))]>, UnaryDP,
3075                       Requires<[IsARM]>;
3076 def MOVsra_flag : PseudoInst<(outs GPR:$dst), (ins GPR:$src), IIC_iMOVsi,
3077                       [(set GPR:$dst, (ARMsra_flag GPR:$src))]>, UnaryDP,
3078                       Requires<[IsARM]>;
3079 }
3080
3081 //===----------------------------------------------------------------------===//
3082 //  Extend Instructions.
3083 //
3084
3085 // Sign extenders
3086
3087 def SXTB  : AI_ext_rrot<0b01101010,
3088                          "sxtb", UnOpFrag<(sext_inreg node:$Src, i8)>>;
3089 def SXTH  : AI_ext_rrot<0b01101011,
3090                          "sxth", UnOpFrag<(sext_inreg node:$Src, i16)>>;
3091
3092 def SXTAB : AI_exta_rrot<0b01101010,
3093                "sxtab", BinOpFrag<(add node:$LHS, (sext_inreg node:$RHS, i8))>>;
3094 def SXTAH : AI_exta_rrot<0b01101011,
3095                "sxtah", BinOpFrag<(add node:$LHS, (sext_inreg node:$RHS,i16))>>;
3096
3097 def SXTB16  : AI_ext_rrot_np<0b01101000, "sxtb16">;
3098
3099 def SXTAB16 : AI_exta_rrot_np<0b01101000, "sxtab16">;
3100
3101 // Zero extenders
3102
3103 let AddedComplexity = 16 in {
3104 def UXTB   : AI_ext_rrot<0b01101110,
3105                           "uxtb"  , UnOpFrag<(and node:$Src, 0x000000FF)>>;
3106 def UXTH   : AI_ext_rrot<0b01101111,
3107                           "uxth"  , UnOpFrag<(and node:$Src, 0x0000FFFF)>>;
3108 def UXTB16 : AI_ext_rrot<0b01101100,
3109                           "uxtb16", UnOpFrag<(and node:$Src, 0x00FF00FF)>>;
3110
3111 // FIXME: This pattern incorrectly assumes the shl operator is a rotate.
3112 //        The transformation should probably be done as a combiner action
3113 //        instead so we can include a check for masking back in the upper
3114 //        eight bits of the source into the lower eight bits of the result.
3115 //def : ARMV6Pat<(and (shl GPR:$Src, (i32 8)), 0xFF00FF),
3116 //               (UXTB16r_rot GPR:$Src, 3)>;
3117 def : ARMV6Pat<(and (srl GPR:$Src, (i32 8)), 0xFF00FF),
3118                (UXTB16 GPR:$Src, 1)>;
3119
3120 def UXTAB : AI_exta_rrot<0b01101110, "uxtab",
3121                         BinOpFrag<(add node:$LHS, (and node:$RHS, 0x00FF))>>;
3122 def UXTAH : AI_exta_rrot<0b01101111, "uxtah",
3123                         BinOpFrag<(add node:$LHS, (and node:$RHS, 0xFFFF))>>;
3124 }
3125
3126 // This isn't safe in general, the add is two 16-bit units, not a 32-bit add.
3127 def UXTAB16 : AI_exta_rrot_np<0b01101100, "uxtab16">;
3128
3129
3130 def SBFX  : I<(outs GPRnopc:$Rd),
3131               (ins GPRnopc:$Rn, imm0_31:$lsb, imm1_32:$width),
3132                AddrMode1, 4, IndexModeNone, DPFrm, IIC_iUNAsi,
3133                "sbfx", "\t$Rd, $Rn, $lsb, $width", "", []>,
3134                Requires<[IsARM, HasV6T2]> {
3135   bits<4> Rd;
3136   bits<4> Rn;
3137   bits<5> lsb;
3138   bits<5> width;
3139   let Inst{27-21} = 0b0111101;
3140   let Inst{6-4}   = 0b101;
3141   let Inst{20-16} = width;
3142   let Inst{15-12} = Rd;
3143   let Inst{11-7}  = lsb;
3144   let Inst{3-0}   = Rn;
3145 }
3146
3147 def UBFX  : I<(outs GPR:$Rd),
3148               (ins GPR:$Rn, imm0_31:$lsb, imm1_32:$width),
3149                AddrMode1, 4, IndexModeNone, DPFrm, IIC_iUNAsi,
3150                "ubfx", "\t$Rd, $Rn, $lsb, $width", "", []>,
3151                Requires<[IsARM, HasV6T2]> {
3152   bits<4> Rd;
3153   bits<4> Rn;
3154   bits<5> lsb;
3155   bits<5> width;
3156   let Inst{27-21} = 0b0111111;
3157   let Inst{6-4}   = 0b101;
3158   let Inst{20-16} = width;
3159   let Inst{15-12} = Rd;
3160   let Inst{11-7}  = lsb;
3161   let Inst{3-0}   = Rn;
3162 }
3163
3164 //===----------------------------------------------------------------------===//
3165 //  Arithmetic Instructions.
3166 //
3167
3168 defm ADD  : AsI1_bin_irs<0b0100, "add",
3169                          IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3170                          BinOpFrag<(add  node:$LHS, node:$RHS)>, "ADD", 1>;
3171 defm SUB  : AsI1_bin_irs<0b0010, "sub",
3172                          IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3173                          BinOpFrag<(sub  node:$LHS, node:$RHS)>, "SUB">;
3174
3175 // ADD and SUB with 's' bit set.
3176 //
3177 // Currently, ADDS/SUBS are pseudo opcodes that exist only in the
3178 // selection DAG. They are "lowered" to real ADD/SUB opcodes by
3179 // AdjustInstrPostInstrSelection where we determine whether or not to
3180 // set the "s" bit based on CPSR liveness.
3181 //
3182 // FIXME: Eliminate ADDS/SUBS pseudo opcodes after adding tablegen
3183 // support for an optional CPSR definition that corresponds to the DAG
3184 // node's second value. We can then eliminate the implicit def of CPSR.
3185 defm ADDS : AsI1_bin_s_irs<IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3186                            BinOpFrag<(ARMaddc node:$LHS, node:$RHS)>, 1>;
3187 defm SUBS : AsI1_bin_s_irs<IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3188                            BinOpFrag<(ARMsubc node:$LHS, node:$RHS)>>;
3189
3190 defm ADC : AI1_adde_sube_irs<0b0101, "adc",
3191                   BinOpWithFlagFrag<(ARMadde node:$LHS, node:$RHS, node:$FLAG)>,
3192                           "ADC", 1>;
3193 defm SBC : AI1_adde_sube_irs<0b0110, "sbc",
3194                   BinOpWithFlagFrag<(ARMsube node:$LHS, node:$RHS, node:$FLAG)>,
3195                           "SBC">;
3196
3197 defm RSB  : AsI1_rbin_irs <0b0011, "rsb",
3198                          IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3199                          BinOpFrag<(sub node:$LHS, node:$RHS)>, "RSB">;
3200
3201 // FIXME: Eliminate them if we can write def : Pat patterns which defines
3202 // CPSR and the implicit def of CPSR is not needed.
3203 defm RSBS : AsI1_rbin_s_is<IIC_iALUi, IIC_iALUr, IIC_iALUsr,
3204                            BinOpFrag<(ARMsubc node:$LHS, node:$RHS)>>;
3205
3206 defm RSC : AI1_rsc_irs<0b0111, "rsc",
3207                   BinOpWithFlagFrag<(ARMsube node:$LHS, node:$RHS, node:$FLAG)>,
3208                        "RSC">;
3209
3210 // (sub X, imm) gets canonicalized to (add X, -imm).  Match this form.
3211 // The assume-no-carry-in form uses the negation of the input since add/sub
3212 // assume opposite meanings of the carry flag (i.e., carry == !borrow).
3213 // See the definition of AddWithCarry() in the ARM ARM A2.2.1 for the gory
3214 // details.
3215 def : ARMPat<(add     GPR:$src, so_imm_neg:$imm),
3216              (SUBri   GPR:$src, so_imm_neg:$imm)>;
3217 def : ARMPat<(ARMaddc GPR:$src, so_imm_neg:$imm),
3218              (SUBSri  GPR:$src, so_imm_neg:$imm)>;
3219
3220 // The with-carry-in form matches bitwise not instead of the negation.
3221 // Effectively, the inverse interpretation of the carry flag already accounts
3222 // for part of the negation.
3223 def : ARMPat<(ARMadde GPR:$src, so_imm_not:$imm, CPSR),
3224              (SBCri   GPR:$src, so_imm_not:$imm)>;
3225
3226 // Note: These are implemented in C++ code, because they have to generate
3227 // ADD/SUBrs instructions, which use a complex pattern that a xform function
3228 // cannot produce.
3229 // (mul X, 2^n+1) -> (add (X << n), X)
3230 // (mul X, 2^n-1) -> (rsb X, (X << n))
3231
3232 // ARM Arithmetic Instruction
3233 // GPR:$dst = GPR:$a op GPR:$b
3234 class AAI<bits<8> op27_20, bits<8> op11_4, string opc,
3235           list<dag> pattern = [],
3236           dag iops = (ins GPRnopc:$Rn, GPRnopc:$Rm),
3237           string asm = "\t$Rd, $Rn, $Rm">
3238   : AI<(outs GPRnopc:$Rd), iops, DPFrm, IIC_iALUr, opc, asm, pattern> {
3239   bits<4> Rn;
3240   bits<4> Rd;
3241   bits<4> Rm;
3242   let Inst{27-20} = op27_20;
3243   let Inst{11-4} = op11_4;
3244   let Inst{19-16} = Rn;
3245   let Inst{15-12} = Rd;
3246   let Inst{3-0}   = Rm;
3247   
3248   let Unpredictable{11-8} = 0b1111;
3249 }
3250
3251 // Saturating add/subtract
3252
3253 def QADD    : AAI<0b00010000, 0b00000101, "qadd",
3254                   [(set GPRnopc:$Rd, (int_arm_qadd GPRnopc:$Rm, GPRnopc:$Rn))],
3255                   (ins GPRnopc:$Rm, GPRnopc:$Rn), "\t$Rd, $Rm, $Rn">;
3256 def QSUB    : AAI<0b00010010, 0b00000101, "qsub",
3257                   [(set GPRnopc:$Rd, (int_arm_qsub GPRnopc:$Rm, GPRnopc:$Rn))],
3258                   (ins GPRnopc:$Rm, GPRnopc:$Rn), "\t$Rd, $Rm, $Rn">;
3259 def QDADD   : AAI<0b00010100, 0b00000101, "qdadd", [],
3260                   (ins GPRnopc:$Rm, GPRnopc:$Rn),
3261                   "\t$Rd, $Rm, $Rn">;
3262 def QDSUB   : AAI<0b00010110, 0b00000101, "qdsub", [],
3263                   (ins GPRnopc:$Rm, GPRnopc:$Rn),
3264                   "\t$Rd, $Rm, $Rn">;
3265
3266 def QADD16  : AAI<0b01100010, 0b11110001, "qadd16">;
3267 def QADD8   : AAI<0b01100010, 0b11111001, "qadd8">;
3268 def QASX    : AAI<0b01100010, 0b11110011, "qasx">;
3269 def QSAX    : AAI<0b01100010, 0b11110101, "qsax">;
3270 def QSUB16  : AAI<0b01100010, 0b11110111, "qsub16">;
3271 def QSUB8   : AAI<0b01100010, 0b11111111, "qsub8">;
3272 def UQADD16 : AAI<0b01100110, 0b11110001, "uqadd16">;
3273 def UQADD8  : AAI<0b01100110, 0b11111001, "uqadd8">;
3274 def UQASX   : AAI<0b01100110, 0b11110011, "uqasx">;
3275 def UQSAX   : AAI<0b01100110, 0b11110101, "uqsax">;
3276 def UQSUB16 : AAI<0b01100110, 0b11110111, "uqsub16">;
3277 def UQSUB8  : AAI<0b01100110, 0b11111111, "uqsub8">;
3278
3279 // Signed/Unsigned add/subtract
3280
3281 def SASX   : AAI<0b01100001, 0b11110011, "sasx">;
3282 def SADD16 : AAI<0b01100001, 0b11110001, "sadd16">;
3283 def SADD8  : AAI<0b01100001, 0b11111001, "sadd8">;
3284 def SSAX   : AAI<0b01100001, 0b11110101, "ssax">;
3285 def SSUB16 : AAI<0b01100001, 0b11110111, "ssub16">;
3286 def SSUB8  : AAI<0b01100001, 0b11111111, "ssub8">;
3287 def UASX   : AAI<0b01100101, 0b11110011, "uasx">;
3288 def UADD16 : AAI<0b01100101, 0b11110001, "uadd16">;
3289 def UADD8  : AAI<0b01100101, 0b11111001, "uadd8">;
3290 def USAX   : AAI<0b01100101, 0b11110101, "usax">;
3291 def USUB16 : AAI<0b01100101, 0b11110111, "usub16">;
3292 def USUB8  : AAI<0b01100101, 0b11111111, "usub8">;
3293
3294 // Signed/Unsigned halving add/subtract
3295
3296 def SHASX   : AAI<0b01100011, 0b11110011, "shasx">;
3297 def SHADD16 : AAI<0b01100011, 0b11110001, "shadd16">;
3298 def SHADD8  : AAI<0b01100011, 0b11111001, "shadd8">;
3299 def SHSAX   : AAI<0b01100011, 0b11110101, "shsax">;
3300 def SHSUB16 : AAI<0b01100011, 0b11110111, "shsub16">;
3301 def SHSUB8  : AAI<0b01100011, 0b11111111, "shsub8">;
3302 def UHASX   : AAI<0b01100111, 0b11110011, "uhasx">;
3303 def UHADD16 : AAI<0b01100111, 0b11110001, "uhadd16">;
3304 def UHADD8  : AAI<0b01100111, 0b11111001, "uhadd8">;
3305 def UHSAX   : AAI<0b01100111, 0b11110101, "uhsax">;
3306 def UHSUB16 : AAI<0b01100111, 0b11110111, "uhsub16">;
3307 def UHSUB8  : AAI<0b01100111, 0b11111111, "uhsub8">;
3308
3309 // Unsigned Sum of Absolute Differences [and Accumulate].
3310
3311 def USAD8  : AI<(outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3312                 MulFrm /* for convenience */, NoItinerary, "usad8",
3313                 "\t$Rd, $Rn, $Rm", []>,
3314              Requires<[IsARM, HasV6]> {
3315   bits<4> Rd;
3316   bits<4> Rn;
3317   bits<4> Rm;
3318   let Inst{27-20} = 0b01111000;
3319   let Inst{15-12} = 0b1111;
3320   let Inst{7-4} = 0b0001;
3321   let Inst{19-16} = Rd;
3322   let Inst{11-8} = Rm;
3323   let Inst{3-0} = Rn;
3324 }
3325 def USADA8 : AI<(outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3326                 MulFrm /* for convenience */, NoItinerary, "usada8",
3327                 "\t$Rd, $Rn, $Rm, $Ra", []>,
3328              Requires<[IsARM, HasV6]> {
3329   bits<4> Rd;
3330   bits<4> Rn;
3331   bits<4> Rm;
3332   bits<4> Ra;
3333   let Inst{27-20} = 0b01111000;
3334   let Inst{7-4} = 0b0001;
3335   let Inst{19-16} = Rd;
3336   let Inst{15-12} = Ra;
3337   let Inst{11-8} = Rm;
3338   let Inst{3-0} = Rn;
3339 }
3340
3341 // Signed/Unsigned saturate
3342
3343 def SSAT : AI<(outs GPRnopc:$Rd),
3344               (ins imm1_32:$sat_imm, GPRnopc:$Rn, shift_imm:$sh),
3345               SatFrm, NoItinerary, "ssat", "\t$Rd, $sat_imm, $Rn$sh", []> {
3346   bits<4> Rd;
3347   bits<5> sat_imm;
3348   bits<4> Rn;
3349   bits<8> sh;
3350   let Inst{27-21} = 0b0110101;
3351   let Inst{5-4} = 0b01;
3352   let Inst{20-16} = sat_imm;
3353   let Inst{15-12} = Rd;
3354   let Inst{11-7} = sh{4-0};
3355   let Inst{6} = sh{5};
3356   let Inst{3-0} = Rn;
3357 }
3358
3359 def SSAT16 : AI<(outs GPRnopc:$Rd),
3360                 (ins imm1_16:$sat_imm, GPRnopc:$Rn), SatFrm,
3361                 NoItinerary, "ssat16", "\t$Rd, $sat_imm, $Rn", []> {
3362   bits<4> Rd;
3363   bits<4> sat_imm;
3364   bits<4> Rn;
3365   let Inst{27-20} = 0b01101010;
3366   let Inst{11-4} = 0b11110011;
3367   let Inst{15-12} = Rd;
3368   let Inst{19-16} = sat_imm;
3369   let Inst{3-0} = Rn;
3370 }
3371
3372 def USAT : AI<(outs GPRnopc:$Rd),
3373               (ins imm0_31:$sat_imm, GPRnopc:$Rn, shift_imm:$sh),
3374               SatFrm, NoItinerary, "usat", "\t$Rd, $sat_imm, $Rn$sh", []> {
3375   bits<4> Rd;
3376   bits<5> sat_imm;
3377   bits<4> Rn;
3378   bits<8> sh;
3379   let Inst{27-21} = 0b0110111;
3380   let Inst{5-4} = 0b01;
3381   let Inst{15-12} = Rd;
3382   let Inst{11-7} = sh{4-0};
3383   let Inst{6} = sh{5};
3384   let Inst{20-16} = sat_imm;
3385   let Inst{3-0} = Rn;
3386 }
3387
3388 def USAT16 : AI<(outs GPRnopc:$Rd),
3389                 (ins imm0_15:$sat_imm, GPRnopc:$Rn), SatFrm,
3390                 NoItinerary, "usat16", "\t$Rd, $sat_imm, $Rn", []> {
3391   bits<4> Rd;
3392   bits<4> sat_imm;
3393   bits<4> Rn;
3394   let Inst{27-20} = 0b01101110;
3395   let Inst{11-4} = 0b11110011;
3396   let Inst{15-12} = Rd;
3397   let Inst{19-16} = sat_imm;
3398   let Inst{3-0} = Rn;
3399 }
3400
3401 def : ARMV6Pat<(int_arm_ssat GPRnopc:$a, imm:$pos),
3402                (SSAT imm:$pos, GPRnopc:$a, 0)>;
3403 def : ARMV6Pat<(int_arm_usat GPRnopc:$a, imm:$pos),
3404                (USAT imm:$pos, GPRnopc:$a, 0)>;
3405
3406 //===----------------------------------------------------------------------===//
3407 //  Bitwise Instructions.
3408 //
3409
3410 defm AND   : AsI1_bin_irs<0b0000, "and",
3411                           IIC_iBITi, IIC_iBITr, IIC_iBITsr,
3412                           BinOpFrag<(and node:$LHS, node:$RHS)>, "AND", 1>;
3413 defm ORR   : AsI1_bin_irs<0b1100, "orr",
3414                           IIC_iBITi, IIC_iBITr, IIC_iBITsr,
3415                           BinOpFrag<(or  node:$LHS, node:$RHS)>, "ORR", 1>;
3416 defm EOR   : AsI1_bin_irs<0b0001, "eor",
3417                           IIC_iBITi, IIC_iBITr, IIC_iBITsr,
3418                           BinOpFrag<(xor node:$LHS, node:$RHS)>, "EOR", 1>;
3419 defm BIC   : AsI1_bin_irs<0b1110, "bic",
3420                           IIC_iBITi, IIC_iBITr, IIC_iBITsr,
3421                           BinOpFrag<(and node:$LHS, (not node:$RHS))>, "BIC">;
3422
3423 // FIXME: bf_inv_mask_imm should be two operands, the lsb and the msb, just
3424 // like in the actual instruction encoding. The complexity of mapping the mask
3425 // to the lsb/msb pair should be handled by ISel, not encapsulated in the
3426 // instruction description.
3427 def BFC    : I<(outs GPR:$Rd), (ins GPR:$src, bf_inv_mask_imm:$imm),
3428                AddrMode1, 4, IndexModeNone, DPFrm, IIC_iUNAsi,
3429                "bfc", "\t$Rd, $imm", "$src = $Rd",
3430                [(set GPR:$Rd, (and GPR:$src, bf_inv_mask_imm:$imm))]>,
3431                Requires<[IsARM, HasV6T2]> {
3432   bits<4> Rd;
3433   bits<10> imm;
3434   let Inst{27-21} = 0b0111110;
3435   let Inst{6-0}   = 0b0011111;
3436   let Inst{15-12} = Rd;
3437   let Inst{11-7}  = imm{4-0}; // lsb
3438   let Inst{20-16} = imm{9-5}; // msb
3439 }
3440
3441 // A8.6.18  BFI - Bitfield insert (Encoding A1)
3442 def BFI:I<(outs GPRnopc:$Rd), (ins GPRnopc:$src, GPR:$Rn, bf_inv_mask_imm:$imm),
3443           AddrMode1, 4, IndexModeNone, DPFrm, IIC_iUNAsi,
3444           "bfi", "\t$Rd, $Rn, $imm", "$src = $Rd",
3445           [(set GPRnopc:$Rd, (ARMbfi GPRnopc:$src, GPR:$Rn,
3446                            bf_inv_mask_imm:$imm))]>,
3447           Requires<[IsARM, HasV6T2]> {
3448   bits<4> Rd;
3449   bits<4> Rn;
3450   bits<10> imm;
3451   let Inst{27-21} = 0b0111110;
3452   let Inst{6-4}   = 0b001; // Rn: Inst{3-0} != 15
3453   let Inst{15-12} = Rd;
3454   let Inst{11-7}  = imm{4-0}; // lsb
3455   let Inst{20-16} = imm{9-5}; // width
3456   let Inst{3-0}   = Rn;
3457 }
3458
3459 def  MVNr  : AsI1<0b1111, (outs GPR:$Rd), (ins GPR:$Rm), DPFrm, IIC_iMVNr,
3460                   "mvn", "\t$Rd, $Rm",
3461                   [(set GPR:$Rd, (not GPR:$Rm))]>, UnaryDP {
3462   bits<4> Rd;
3463   bits<4> Rm;
3464   let Inst{25} = 0;
3465   let Inst{19-16} = 0b0000;
3466   let Inst{11-4} = 0b00000000;
3467   let Inst{15-12} = Rd;
3468   let Inst{3-0} = Rm;
3469 }
3470 def  MVNsi  : AsI1<0b1111, (outs GPR:$Rd), (ins so_reg_imm:$shift),
3471                   DPSoRegImmFrm, IIC_iMVNsr, "mvn", "\t$Rd, $shift",
3472                   [(set GPR:$Rd, (not so_reg_imm:$shift))]>, UnaryDP {
3473   bits<4> Rd;
3474   bits<12> shift;
3475   let Inst{25} = 0;
3476   let Inst{19-16} = 0b0000;
3477   let Inst{15-12} = Rd;
3478   let Inst{11-5} = shift{11-5};
3479   let Inst{4} = 0;
3480   let Inst{3-0} = shift{3-0};
3481 }
3482 def  MVNsr  : AsI1<0b1111, (outs GPR:$Rd), (ins so_reg_reg:$shift),
3483                   DPSoRegRegFrm, IIC_iMVNsr, "mvn", "\t$Rd, $shift",
3484                   [(set GPR:$Rd, (not so_reg_reg:$shift))]>, UnaryDP {
3485   bits<4> Rd;
3486   bits<12> shift;
3487   let Inst{25} = 0;
3488   let Inst{19-16} = 0b0000;
3489   let Inst{15-12} = Rd;
3490   let Inst{11-8} = shift{11-8};
3491   let Inst{7} = 0;
3492   let Inst{6-5} = shift{6-5};
3493   let Inst{4} = 1;
3494   let Inst{3-0} = shift{3-0};
3495 }
3496 let isReMaterializable = 1, isAsCheapAsAMove = 1, isMoveImm = 1 in
3497 def  MVNi  : AsI1<0b1111, (outs GPR:$Rd), (ins so_imm:$imm), DPFrm,
3498                   IIC_iMVNi, "mvn", "\t$Rd, $imm",
3499                   [(set GPR:$Rd, so_imm_not:$imm)]>,UnaryDP {
3500   bits<4> Rd;
3501   bits<12> imm;
3502   let Inst{25} = 1;
3503   let Inst{19-16} = 0b0000;
3504   let Inst{15-12} = Rd;
3505   let Inst{11-0} = imm;
3506 }
3507
3508 def : ARMPat<(and   GPR:$src, so_imm_not:$imm),
3509              (BICri GPR:$src, so_imm_not:$imm)>;
3510
3511 //===----------------------------------------------------------------------===//
3512 //  Multiply Instructions.
3513 //
3514 class AsMul1I32<bits<7> opcod, dag oops, dag iops, InstrItinClass itin,
3515              string opc, string asm, list<dag> pattern>
3516   : AsMul1I<opcod, oops, iops, itin, opc, asm, pattern> {
3517   bits<4> Rd;
3518   bits<4> Rm;
3519   bits<4> Rn;
3520   let Inst{19-16} = Rd;
3521   let Inst{11-8}  = Rm;
3522   let Inst{3-0}   = Rn;
3523 }
3524 class AsMul1I64<bits<7> opcod, dag oops, dag iops, InstrItinClass itin,
3525              string opc, string asm, list<dag> pattern>
3526   : AsMul1I<opcod, oops, iops, itin, opc, asm, pattern> {
3527   bits<4> RdLo;
3528   bits<4> RdHi;
3529   bits<4> Rm;
3530   bits<4> Rn;
3531   let Inst{19-16} = RdHi;
3532   let Inst{15-12} = RdLo;
3533   let Inst{11-8}  = Rm;
3534   let Inst{3-0}   = Rn;
3535 }
3536
3537 // FIXME: The v5 pseudos are only necessary for the additional Constraint
3538 //        property. Remove them when it's possible to add those properties
3539 //        on an individual MachineInstr, not just an instuction description.
3540 let isCommutable = 1 in {
3541 def MUL  : AsMul1I32<0b0000000, (outs GPRnopc:$Rd), (ins GPRnopc:$Rn, GPRnopc:$Rm),
3542                    IIC_iMUL32, "mul", "\t$Rd, $Rn, $Rm",
3543                    [(set GPRnopc:$Rd, (mul GPRnopc:$Rn, GPRnopc:$Rm))]>,
3544                    Requires<[IsARM, HasV6]> {
3545   let Inst{15-12} = 0b0000;
3546   let Unpredictable{15-12} = 0b1111;
3547 }
3548
3549 let Constraints = "@earlyclobber $Rd" in
3550 def MULv5: ARMPseudoExpand<(outs GPRnopc:$Rd), (ins GPRnopc:$Rn, GPRnopc:$Rm,
3551                                             pred:$p, cc_out:$s),
3552                           4, IIC_iMUL32,
3553                          [(set GPRnopc:$Rd, (mul GPRnopc:$Rn, GPRnopc:$Rm))],
3554                          (MUL GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p, cc_out:$s)>,
3555                         Requires<[IsARM, NoV6]>;
3556 }
3557
3558 def MLA  : AsMul1I32<0b0000001, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3559                     IIC_iMAC32, "mla", "\t$Rd, $Rn, $Rm, $Ra",
3560                    [(set GPR:$Rd, (add (mul GPR:$Rn, GPR:$Rm), GPR:$Ra))]>,
3561                    Requires<[IsARM, HasV6]> {
3562   bits<4> Ra;
3563   let Inst{15-12} = Ra;
3564 }
3565
3566 let Constraints = "@earlyclobber $Rd" in
3567 def MLAv5: ARMPseudoExpand<(outs GPR:$Rd),
3568                           (ins GPR:$Rn, GPR:$Rm, GPR:$Ra, pred:$p, cc_out:$s),
3569                           4, IIC_iMAC32,
3570                         [(set GPR:$Rd, (add (mul GPR:$Rn, GPR:$Rm), GPR:$Ra))],
3571                   (MLA GPR:$Rd, GPR:$Rn, GPR:$Rm, GPR:$Ra, pred:$p, cc_out:$s)>,
3572                         Requires<[IsARM, NoV6]>;
3573
3574 def MLS  : AMul1I<0b0000011, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3575                    IIC_iMAC32, "mls", "\t$Rd, $Rn, $Rm, $Ra",
3576                    [(set GPR:$Rd, (sub GPR:$Ra, (mul GPR:$Rn, GPR:$Rm)))]>,
3577                    Requires<[IsARM, HasV6T2]> {
3578   bits<4> Rd;
3579   bits<4> Rm;
3580   bits<4> Rn;
3581   bits<4> Ra;
3582   let Inst{19-16} = Rd;
3583   let Inst{15-12} = Ra;
3584   let Inst{11-8}  = Rm;
3585   let Inst{3-0}   = Rn;
3586 }
3587
3588 // Extra precision multiplies with low / high results
3589 let neverHasSideEffects = 1 in {
3590 let isCommutable = 1 in {
3591 def SMULL : AsMul1I64<0b0000110, (outs GPR:$RdLo, GPR:$RdHi),
3592                                  (ins GPR:$Rn, GPR:$Rm), IIC_iMUL64,
3593                     "smull", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3594                     Requires<[IsARM, HasV6]>;
3595
3596 def UMULL : AsMul1I64<0b0000100, (outs GPR:$RdLo, GPR:$RdHi),
3597                                  (ins GPR:$Rn, GPR:$Rm), IIC_iMUL64,
3598                     "umull", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3599                     Requires<[IsARM, HasV6]>;
3600
3601 let Constraints = "@earlyclobber $RdLo,@earlyclobber $RdHi" in {
3602 def SMULLv5 : ARMPseudoExpand<(outs GPR:$RdLo, GPR:$RdHi),
3603                             (ins GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s),
3604                             4, IIC_iMUL64, [],
3605           (SMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
3606                            Requires<[IsARM, NoV6]>;
3607
3608 def UMULLv5 : ARMPseudoExpand<(outs GPR:$RdLo, GPR:$RdHi),
3609                             (ins GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s),
3610                             4, IIC_iMUL64, [],
3611           (UMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
3612                            Requires<[IsARM, NoV6]>;
3613 }
3614 }
3615
3616 // Multiply + accumulate
3617 def SMLAL : AsMul1I64<0b0000111, (outs GPR:$RdLo, GPR:$RdHi),
3618                                (ins GPR:$Rn, GPR:$Rm), IIC_iMAC64,
3619                     "smlal", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3620                     Requires<[IsARM, HasV6]>;
3621 def UMLAL : AsMul1I64<0b0000101, (outs GPR:$RdLo, GPR:$RdHi),
3622                                (ins GPR:$Rn, GPR:$Rm), IIC_iMAC64,
3623                     "umlal", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3624                     Requires<[IsARM, HasV6]>;
3625
3626 def UMAAL : AMul1I <0b0000010, (outs GPR:$RdLo, GPR:$RdHi),
3627                                (ins GPR:$Rn, GPR:$Rm), IIC_iMAC64,
3628                     "umaal", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3629                     Requires<[IsARM, HasV6]> {
3630   bits<4> RdLo;
3631   bits<4> RdHi;
3632   bits<4> Rm;
3633   bits<4> Rn;
3634   let Inst{19-16} = RdHi;
3635   let Inst{15-12} = RdLo;
3636   let Inst{11-8}  = Rm;
3637   let Inst{3-0}   = Rn;
3638 }
3639
3640 let Constraints = "@earlyclobber $RdLo,@earlyclobber $RdHi" in {
3641 def SMLALv5 : ARMPseudoExpand<(outs GPR:$RdLo, GPR:$RdHi),
3642                               (ins GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s),
3643                               4, IIC_iMAC64, [],
3644           (SMLAL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
3645                            Requires<[IsARM, NoV6]>;
3646 def UMLALv5 : ARMPseudoExpand<(outs GPR:$RdLo, GPR:$RdHi),
3647                               (ins GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s),
3648                               4, IIC_iMAC64, [],
3649           (UMLAL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
3650                            Requires<[IsARM, NoV6]>;
3651 def UMAALv5 : ARMPseudoExpand<(outs GPR:$RdLo, GPR:$RdHi),
3652                               (ins GPR:$Rn, GPR:$Rm, pred:$p),
3653                               4, IIC_iMAC64, [],
3654           (UMAAL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p)>,
3655                            Requires<[IsARM, NoV6]>;
3656 }
3657
3658 } // neverHasSideEffects
3659
3660 // Most significant word multiply
3661 def SMMUL : AMul2I <0b0111010, 0b0001, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3662                IIC_iMUL32, "smmul", "\t$Rd, $Rn, $Rm",
3663                [(set GPR:$Rd, (mulhs GPR:$Rn, GPR:$Rm))]>,
3664             Requires<[IsARM, HasV6]> {
3665   let Inst{15-12} = 0b1111;
3666 }
3667
3668 def SMMULR : AMul2I <0b0111010, 0b0011, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3669                IIC_iMUL32, "smmulr", "\t$Rd, $Rn, $Rm", []>,
3670             Requires<[IsARM, HasV6]> {
3671   let Inst{15-12} = 0b1111;
3672 }
3673
3674 def SMMLA : AMul2Ia <0b0111010, 0b0001, (outs GPR:$Rd),
3675                (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3676                IIC_iMAC32, "smmla", "\t$Rd, $Rn, $Rm, $Ra",
3677                [(set GPR:$Rd, (add (mulhs GPR:$Rn, GPR:$Rm), GPR:$Ra))]>,
3678             Requires<[IsARM, HasV6]>;
3679
3680 def SMMLAR : AMul2Ia <0b0111010, 0b0011, (outs GPR:$Rd),
3681                (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3682                IIC_iMAC32, "smmlar", "\t$Rd, $Rn, $Rm, $Ra", []>,
3683             Requires<[IsARM, HasV6]>;
3684
3685 def SMMLS : AMul2Ia <0b0111010, 0b1101, (outs GPR:$Rd),
3686                (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3687                IIC_iMAC32, "smmls", "\t$Rd, $Rn, $Rm, $Ra",
3688                [(set GPR:$Rd, (sub GPR:$Ra, (mulhs GPR:$Rn, GPR:$Rm)))]>,
3689             Requires<[IsARM, HasV6]>;
3690
3691 def SMMLSR : AMul2Ia <0b0111010, 0b1111, (outs GPR:$Rd),
3692                (ins GPR:$Rn, GPR:$Rm, GPR:$Ra),
3693                IIC_iMAC32, "smmlsr", "\t$Rd, $Rn, $Rm, $Ra", []>,
3694             Requires<[IsARM, HasV6]>;
3695
3696 multiclass AI_smul<string opc, PatFrag opnode> {
3697   def BB : AMulxyI<0b0001011, 0b00, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3698               IIC_iMUL16, !strconcat(opc, "bb"), "\t$Rd, $Rn, $Rm",
3699               [(set GPR:$Rd, (opnode (sext_inreg GPR:$Rn, i16),
3700                                       (sext_inreg GPR:$Rm, i16)))]>,
3701            Requires<[IsARM, HasV5TE]>;
3702
3703   def BT : AMulxyI<0b0001011, 0b10, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3704               IIC_iMUL16, !strconcat(opc, "bt"), "\t$Rd, $Rn, $Rm",
3705               [(set GPR:$Rd, (opnode (sext_inreg GPR:$Rn, i16),
3706                                       (sra GPR:$Rm, (i32 16))))]>,
3707            Requires<[IsARM, HasV5TE]>;
3708
3709   def TB : AMulxyI<0b0001011, 0b01, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3710               IIC_iMUL16, !strconcat(opc, "tb"), "\t$Rd, $Rn, $Rm",
3711               [(set GPR:$Rd, (opnode (sra GPR:$Rn, (i32 16)),
3712                                       (sext_inreg GPR:$Rm, i16)))]>,
3713            Requires<[IsARM, HasV5TE]>;
3714
3715   def TT : AMulxyI<0b0001011, 0b11, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3716               IIC_iMUL16, !strconcat(opc, "tt"), "\t$Rd, $Rn, $Rm",
3717               [(set GPR:$Rd, (opnode (sra GPR:$Rn, (i32 16)),
3718                                       (sra GPR:$Rm, (i32 16))))]>,
3719             Requires<[IsARM, HasV5TE]>;
3720
3721   def WB : AMulxyI<0b0001001, 0b01, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3722               IIC_iMUL16, !strconcat(opc, "wb"), "\t$Rd, $Rn, $Rm",
3723               [(set GPR:$Rd, (sra (opnode GPR:$Rn,
3724                                     (sext_inreg GPR:$Rm, i16)), (i32 16)))]>,
3725            Requires<[IsARM, HasV5TE]>;
3726
3727   def WT : AMulxyI<0b0001001, 0b11, (outs GPR:$Rd), (ins GPR:$Rn, GPR:$Rm),
3728               IIC_iMUL16, !strconcat(opc, "wt"), "\t$Rd, $Rn, $Rm",
3729               [(set GPR:$Rd, (sra (opnode GPR:$Rn,
3730                                     (sra GPR:$Rm, (i32 16))), (i32 16)))]>,
3731             Requires<[IsARM, HasV5TE]>;
3732 }
3733
3734
3735 multiclass AI_smla<string opc, PatFrag opnode> {
3736   let DecoderMethod = "DecodeSMLAInstruction" in {
3737   def BB : AMulxyIa<0b0001000, 0b00, (outs GPRnopc:$Rd),
3738               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3739               IIC_iMAC16, !strconcat(opc, "bb"), "\t$Rd, $Rn, $Rm, $Ra",
3740               [(set GPRnopc:$Rd, (add GPR:$Ra,
3741                                (opnode (sext_inreg GPRnopc:$Rn, i16),
3742                                        (sext_inreg GPRnopc:$Rm, i16))))]>,
3743            Requires<[IsARM, HasV5TE]>;
3744
3745   def BT : AMulxyIa<0b0001000, 0b10, (outs GPRnopc:$Rd),
3746               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3747               IIC_iMAC16, !strconcat(opc, "bt"), "\t$Rd, $Rn, $Rm, $Ra",
3748               [(set GPRnopc:$Rd,
3749                     (add GPR:$Ra, (opnode (sext_inreg GPRnopc:$Rn, i16),
3750                                           (sra GPRnopc:$Rm, (i32 16)))))]>,
3751            Requires<[IsARM, HasV5TE]>;
3752
3753   def TB : AMulxyIa<0b0001000, 0b01, (outs GPRnopc:$Rd),
3754               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3755               IIC_iMAC16, !strconcat(opc, "tb"), "\t$Rd, $Rn, $Rm, $Ra",
3756               [(set GPRnopc:$Rd,
3757                     (add GPR:$Ra, (opnode (sra GPRnopc:$Rn, (i32 16)),
3758                                           (sext_inreg GPRnopc:$Rm, i16))))]>,
3759            Requires<[IsARM, HasV5TE]>;
3760
3761   def TT : AMulxyIa<0b0001000, 0b11, (outs GPRnopc:$Rd),
3762               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3763               IIC_iMAC16, !strconcat(opc, "tt"), "\t$Rd, $Rn, $Rm, $Ra",
3764              [(set GPRnopc:$Rd,
3765                    (add GPR:$Ra, (opnode (sra GPRnopc:$Rn, (i32 16)),
3766                                          (sra GPRnopc:$Rm, (i32 16)))))]>,
3767             Requires<[IsARM, HasV5TE]>;
3768
3769   def WB : AMulxyIa<0b0001001, 0b00, (outs GPRnopc:$Rd),
3770               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3771               IIC_iMAC16, !strconcat(opc, "wb"), "\t$Rd, $Rn, $Rm, $Ra",
3772               [(set GPRnopc:$Rd,
3773                     (add GPR:$Ra, (sra (opnode GPRnopc:$Rn,
3774                                   (sext_inreg GPRnopc:$Rm, i16)), (i32 16))))]>,
3775            Requires<[IsARM, HasV5TE]>;
3776
3777   def WT : AMulxyIa<0b0001001, 0b10, (outs GPRnopc:$Rd),
3778               (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3779               IIC_iMAC16, !strconcat(opc, "wt"), "\t$Rd, $Rn, $Rm, $Ra",
3780               [(set GPRnopc:$Rd,
3781                  (add GPR:$Ra, (sra (opnode GPRnopc:$Rn,
3782                                     (sra GPRnopc:$Rm, (i32 16))), (i32 16))))]>,
3783             Requires<[IsARM, HasV5TE]>;
3784   }
3785 }
3786
3787 defm SMUL : AI_smul<"smul", BinOpFrag<(mul node:$LHS, node:$RHS)>>;
3788 defm SMLA : AI_smla<"smla", BinOpFrag<(mul node:$LHS, node:$RHS)>>;
3789
3790 // Halfword multiply accumulate long: SMLAL<x><y>.
3791 def SMLALBB : AMulxyI64<0b0001010, 0b00, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3792                       (ins GPRnopc:$Rn, GPRnopc:$Rm),
3793                       IIC_iMAC64, "smlalbb", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3794               Requires<[IsARM, HasV5TE]>;
3795
3796 def SMLALBT : AMulxyI64<0b0001010, 0b10, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3797                       (ins GPRnopc:$Rn, GPRnopc:$Rm),
3798                       IIC_iMAC64, "smlalbt", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3799               Requires<[IsARM, HasV5TE]>;
3800
3801 def SMLALTB : AMulxyI64<0b0001010, 0b01, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3802                       (ins GPRnopc:$Rn, GPRnopc:$Rm),
3803                       IIC_iMAC64, "smlaltb", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3804               Requires<[IsARM, HasV5TE]>;
3805
3806 def SMLALTT : AMulxyI64<0b0001010, 0b11, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3807                       (ins GPRnopc:$Rn, GPRnopc:$Rm),
3808                       IIC_iMAC64, "smlaltt", "\t$RdLo, $RdHi, $Rn, $Rm", []>,
3809               Requires<[IsARM, HasV5TE]>;
3810
3811 // Helper class for AI_smld.
3812 class AMulDualIbase<bit long, bit sub, bit swap, dag oops, dag iops,
3813                     InstrItinClass itin, string opc, string asm>
3814   : AI<oops, iops, MulFrm, itin, opc, asm, []>, Requires<[IsARM, HasV6]> {
3815   bits<4> Rn;
3816   bits<4> Rm;
3817   let Inst{27-23} = 0b01110;
3818   let Inst{22}    = long;
3819   let Inst{21-20} = 0b00;
3820   let Inst{11-8}  = Rm;
3821   let Inst{7}     = 0;
3822   let Inst{6}     = sub;
3823   let Inst{5}     = swap;
3824   let Inst{4}     = 1;
3825   let Inst{3-0}   = Rn;
3826 }
3827 class AMulDualI<bit long, bit sub, bit swap, dag oops, dag iops,
3828                 InstrItinClass itin, string opc, string asm>
3829   : AMulDualIbase<long, sub, swap, oops, iops, itin, opc, asm> {
3830   bits<4> Rd;
3831   let Inst{15-12} = 0b1111;
3832   let Inst{19-16} = Rd;
3833 }
3834 class AMulDualIa<bit long, bit sub, bit swap, dag oops, dag iops,
3835                 InstrItinClass itin, string opc, string asm>
3836   : AMulDualIbase<long, sub, swap, oops, iops, itin, opc, asm> {
3837   bits<4> Ra;
3838   bits<4> Rd;
3839   let Inst{19-16} = Rd;
3840   let Inst{15-12} = Ra;
3841 }
3842 class AMulDualI64<bit long, bit sub, bit swap, dag oops, dag iops,
3843                   InstrItinClass itin, string opc, string asm>
3844   : AMulDualIbase<long, sub, swap, oops, iops, itin, opc, asm> {
3845   bits<4> RdLo;
3846   bits<4> RdHi;
3847   let Inst{19-16} = RdHi;
3848   let Inst{15-12} = RdLo;
3849 }
3850
3851 multiclass AI_smld<bit sub, string opc> {
3852
3853   def D : AMulDualIa<0, sub, 0, (outs GPRnopc:$Rd),
3854                   (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3855                   NoItinerary, !strconcat(opc, "d"), "\t$Rd, $Rn, $Rm, $Ra">;
3856
3857   def DX: AMulDualIa<0, sub, 1, (outs GPRnopc:$Rd),
3858                   (ins GPRnopc:$Rn, GPRnopc:$Rm, GPR:$Ra),
3859                   NoItinerary, !strconcat(opc, "dx"), "\t$Rd, $Rn, $Rm, $Ra">;
3860
3861   def LD: AMulDualI64<1, sub, 0, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3862                   (ins GPRnopc:$Rn, GPRnopc:$Rm), NoItinerary,
3863                   !strconcat(opc, "ld"), "\t$RdLo, $RdHi, $Rn, $Rm">;
3864
3865   def LDX : AMulDualI64<1, sub, 1, (outs GPRnopc:$RdLo, GPRnopc:$RdHi),
3866                   (ins GPRnopc:$Rn, GPRnopc:$Rm), NoItinerary,
3867                   !strconcat(opc, "ldx"),"\t$RdLo, $RdHi, $Rn, $Rm">;
3868
3869 }
3870
3871 defm SMLA : AI_smld<0, "smla">;
3872 defm SMLS : AI_smld<1, "smls">;
3873
3874 multiclass AI_sdml<bit sub, string opc> {
3875
3876   def D:AMulDualI<0, sub, 0, (outs GPRnopc:$Rd), (ins GPRnopc:$Rn, GPRnopc:$Rm),
3877                   NoItinerary, !strconcat(opc, "d"), "\t$Rd, $Rn, $Rm">;
3878   def DX:AMulDualI<0, sub, 1, (outs GPRnopc:$Rd),(ins GPRnopc:$Rn, GPRnopc:$Rm),
3879                   NoItinerary, !strconcat(opc, "dx"), "\t$Rd, $Rn, $Rm">;
3880 }
3881
3882 defm SMUA : AI_sdml<0, "smua">;
3883 defm SMUS : AI_sdml<1, "smus">;
3884
3885 //===----------------------------------------------------------------------===//
3886 //  Misc. Arithmetic Instructions.
3887 //
3888
3889 def CLZ  : AMiscA1I<0b000010110, 0b0001, (outs GPR:$Rd), (ins GPR:$Rm),
3890               IIC_iUNAr, "clz", "\t$Rd, $Rm",
3891               [(set GPR:$Rd, (ctlz GPR:$Rm))]>, Requires<[IsARM, HasV5T]>;
3892
3893 def RBIT : AMiscA1I<0b01101111, 0b0011, (outs GPR:$Rd), (ins GPR:$Rm),
3894               IIC_iUNAr, "rbit", "\t$Rd, $Rm",
3895               [(set GPR:$Rd, (ARMrbit GPR:$Rm))]>,
3896            Requires<[IsARM, HasV6T2]>;
3897
3898 def REV  : AMiscA1I<0b01101011, 0b0011, (outs GPR:$Rd), (ins GPR:$Rm),
3899               IIC_iUNAr, "rev", "\t$Rd, $Rm",
3900               [(set GPR:$Rd, (bswap GPR:$Rm))]>, Requires<[IsARM, HasV6]>;
3901
3902 let AddedComplexity = 5 in
3903 def REV16 : AMiscA1I<0b01101011, 0b1011, (outs GPR:$Rd), (ins GPR:$Rm),
3904                IIC_iUNAr, "rev16", "\t$Rd, $Rm",
3905                [(set GPR:$Rd, (rotr (bswap GPR:$Rm), (i32 16)))]>,
3906                Requires<[IsARM, HasV6]>;
3907
3908 let AddedComplexity = 5 in
3909 def REVSH : AMiscA1I<0b01101111, 0b1011, (outs GPR:$Rd), (ins GPR:$Rm),
3910                IIC_iUNAr, "revsh", "\t$Rd, $Rm",
3911                [(set GPR:$Rd, (sra (bswap GPR:$Rm), (i32 16)))]>,
3912                Requires<[IsARM, HasV6]>;
3913
3914 def : ARMV6Pat<(or (sra (shl GPR:$Rm, (i32 24)), (i32 16)),
3915                    (and (srl GPR:$Rm, (i32 8)), 0xFF)),
3916                (REVSH GPR:$Rm)>;
3917
3918 def PKHBT : APKHI<0b01101000, 0, (outs GPRnopc:$Rd),
3919                               (ins GPRnopc:$Rn, GPRnopc:$Rm, pkh_lsl_amt:$sh),
3920                IIC_iALUsi, "pkhbt", "\t$Rd, $Rn, $Rm$sh",
3921                [(set GPRnopc:$Rd, (or (and GPRnopc:$Rn, 0xFFFF),
3922                                       (and (shl GPRnopc:$Rm, pkh_lsl_amt:$sh),
3923                                            0xFFFF0000)))]>,
3924                Requires<[IsARM, HasV6]>;
3925
3926 // Alternate cases for PKHBT where identities eliminate some nodes.
3927 def : ARMV6Pat<(or (and GPRnopc:$Rn, 0xFFFF), (and GPRnopc:$Rm, 0xFFFF0000)),
3928                (PKHBT GPRnopc:$Rn, GPRnopc:$Rm, 0)>;
3929 def : ARMV6Pat<(or (and GPRnopc:$Rn, 0xFFFF), (shl GPRnopc:$Rm, imm16_31:$sh)),
3930                (PKHBT GPRnopc:$Rn, GPRnopc:$Rm, imm16_31:$sh)>;
3931
3932 // Note: Shifts of 1-15 bits will be transformed to srl instead of sra and
3933 // will match the pattern below.
3934 def PKHTB : APKHI<0b01101000, 1, (outs GPRnopc:$Rd),
3935                               (ins GPRnopc:$Rn, GPRnopc:$Rm, pkh_asr_amt:$sh),
3936                IIC_iBITsi, "pkhtb", "\t$Rd, $Rn, $Rm$sh",
3937                [(set GPRnopc:$Rd, (or (and GPRnopc:$Rn, 0xFFFF0000),
3938                                       (and (sra GPRnopc:$Rm, pkh_asr_amt:$sh),
3939                                            0xFFFF)))]>,
3940                Requires<[IsARM, HasV6]>;
3941
3942 // Alternate cases for PKHTB where identities eliminate some nodes.  Note that
3943 // a shift amount of 0 is *not legal* here, it is PKHBT instead.
3944 def : ARMV6Pat<(or (and GPRnopc:$src1, 0xFFFF0000),
3945                    (srl GPRnopc:$src2, imm16_31:$sh)),
3946                (PKHTB GPRnopc:$src1, GPRnopc:$src2, imm16_31:$sh)>;
3947 def : ARMV6Pat<(or (and GPRnopc:$src1, 0xFFFF0000),
3948                    (and (srl GPRnopc:$src2, imm1_15:$sh), 0xFFFF)),
3949                (PKHTB GPRnopc:$src1, GPRnopc:$src2, imm1_15:$sh)>;
3950
3951 //===----------------------------------------------------------------------===//
3952 //  Comparison Instructions...
3953 //
3954
3955 defm CMP  : AI1_cmp_irs<0b1010, "cmp",
3956                         IIC_iCMPi, IIC_iCMPr, IIC_iCMPsr,
3957                         BinOpFrag<(ARMcmp node:$LHS, node:$RHS)>>;
3958
3959 // ARMcmpZ can re-use the above instruction definitions.
3960 def : ARMPat<(ARMcmpZ GPR:$src, so_imm:$imm),
3961              (CMPri   GPR:$src, so_imm:$imm)>;
3962 def : ARMPat<(ARMcmpZ GPR:$src, GPR:$rhs),
3963              (CMPrr   GPR:$src, GPR:$rhs)>;
3964 def : ARMPat<(ARMcmpZ GPR:$src, so_reg_imm:$rhs),
3965              (CMPrsi   GPR:$src, so_reg_imm:$rhs)>;
3966 def : ARMPat<(ARMcmpZ GPR:$src, so_reg_reg:$rhs),
3967              (CMPrsr   GPR:$src, so_reg_reg:$rhs)>;
3968
3969 // FIXME: We have to be careful when using the CMN instruction and comparison
3970 // with 0. One would expect these two pieces of code should give identical
3971 // results:
3972 //
3973 //   rsbs r1, r1, 0
3974 //   cmp  r0, r1
3975 //   mov  r0, #0
3976 //   it   ls
3977 //   mov  r0, #1
3978 //
3979 // and:
3980 //
3981 //   cmn  r0, r1
3982 //   mov  r0, #0
3983 //   it   ls
3984 //   mov  r0, #1
3985 //
3986 // However, the CMN gives the *opposite* result when r1 is 0. This is because
3987 // the carry flag is set in the CMP case but not in the CMN case. In short, the
3988 // CMP instruction doesn't perform a truncate of the (logical) NOT of 0 plus the
3989 // value of r0 and the carry bit (because the "carry bit" parameter to
3990 // AddWithCarry is defined as 1 in this case, the carry flag will always be set
3991 // when r0 >= 0). The CMN instruction doesn't perform a NOT of 0 so there is
3992 // never a "carry" when this AddWithCarry is performed (because the "carry bit"
3993 // parameter to AddWithCarry is defined as 0).
3994 //
3995 // When x is 0 and unsigned:
3996 //
3997 //    x = 0
3998 //   ~x = 0xFFFF FFFF
3999 //   ~x + 1 = 0x1 0000 0000
4000 //   (-x = 0) != (0x1 0000 0000 = ~x + 1)
4001 //
4002 // Therefore, we should disable CMN when comparing against zero, until we can
4003 // limit when the CMN instruction is used (when we know that the RHS is not 0 or
4004 // when it's a comparison which doesn't look at the 'carry' flag).
4005 //
4006 // (See the ARM docs for the "AddWithCarry" pseudo-code.)
4007 //
4008 // This is related to <rdar://problem/7569620>.
4009 //
4010 //defm CMN  : AI1_cmp_irs<0b1011, "cmn",
4011 //                        BinOpFrag<(ARMcmp node:$LHS,(ineg node:$RHS))>>;
4012
4013 // Note that TST/TEQ don't set all the same flags that CMP does!
4014 defm TST  : AI1_cmp_irs<0b1000, "tst",
4015                         IIC_iTSTi, IIC_iTSTr, IIC_iTSTsr,
4016                       BinOpFrag<(ARMcmpZ (and_su node:$LHS, node:$RHS), 0)>, 1>;
4017 defm TEQ  : AI1_cmp_irs<0b1001, "teq",
4018                         IIC_iTSTi, IIC_iTSTr, IIC_iTSTsr,
4019                       BinOpFrag<(ARMcmpZ (xor_su node:$LHS, node:$RHS), 0)>, 1>;
4020
4021 defm CMNz  : AI1_cmp_irs<0b1011, "cmn",
4022                          IIC_iCMPi, IIC_iCMPr, IIC_iCMPsr,
4023                          BinOpFrag<(ARMcmpZ node:$LHS,(ineg node:$RHS))>>;
4024
4025 //def : ARMPat<(ARMcmp GPR:$src, so_imm_neg:$imm),
4026 //             (CMNri  GPR:$src, so_imm_neg:$imm)>;
4027
4028 def : ARMPat<(ARMcmpZ GPR:$src, so_imm_neg:$imm),
4029              (CMNzri  GPR:$src, so_imm_neg:$imm)>;
4030
4031 // Pseudo i64 compares for some floating point compares.
4032 let usesCustomInserter = 1, isBranch = 1, isTerminator = 1,
4033     Defs = [CPSR] in {
4034 def BCCi64 : PseudoInst<(outs),
4035     (ins i32imm:$cc, GPR:$lhs1, GPR:$lhs2, GPR:$rhs1, GPR:$rhs2, brtarget:$dst),
4036      IIC_Br,
4037     [(ARMBcci64 imm:$cc, GPR:$lhs1, GPR:$lhs2, GPR:$rhs1, GPR:$rhs2, bb:$dst)]>;
4038
4039 def BCCZi64 : PseudoInst<(outs),
4040      (ins i32imm:$cc, GPR:$lhs1, GPR:$lhs2, brtarget:$dst), IIC_Br,
4041     [(ARMBcci64 imm:$cc, GPR:$lhs1, GPR:$lhs2, 0, 0, bb:$dst)]>;
4042 } // usesCustomInserter
4043
4044
4045 // Conditional moves
4046 // FIXME: should be able to write a pattern for ARMcmov, but can't use
4047 // a two-value operand where a dag node expects two operands. :(
4048 let neverHasSideEffects = 1 in {
4049
4050 let isCommutable = 1 in
4051 def MOVCCr : ARMPseudoInst<(outs GPR:$Rd), (ins GPR:$false, GPR:$Rm, pred:$p),
4052                            4, IIC_iCMOVr,
4053   [/*(set GPR:$Rd, (ARMcmov GPR:$false, GPR:$Rm, imm:$cc, CCR:$ccr))*/]>,
4054       RegConstraint<"$false = $Rd">;
4055
4056 def MOVCCsi : ARMPseudoInst<(outs GPR:$Rd),
4057                            (ins GPR:$false, so_reg_imm:$shift, pred:$p),
4058                            4, IIC_iCMOVsr,
4059   [/*(set GPR:$Rd, (ARMcmov GPR:$false, so_reg_imm:$shift,
4060                             imm:$cc, CCR:$ccr))*/]>,
4061       RegConstraint<"$false = $Rd">;
4062 def MOVCCsr : ARMPseudoInst<(outs GPR:$Rd),
4063                            (ins GPR:$false, so_reg_reg:$shift, pred:$p),
4064                            4, IIC_iCMOVsr,
4065   [/*(set GPR:$Rd, (ARMcmov GPR:$false, so_reg_reg:$shift,
4066                             imm:$cc, CCR:$ccr))*/]>,
4067       RegConstraint<"$false = $Rd">;
4068
4069
4070 let isMoveImm = 1 in
4071 def MOVCCi16 : ARMPseudoInst<(outs GPR:$Rd),
4072                              (ins GPR:$false, imm0_65535_expr:$imm, pred:$p),
4073                              4, IIC_iMOVi,
4074                              []>,
4075       RegConstraint<"$false = $Rd">, Requires<[IsARM, HasV6T2]>;
4076
4077 let isMoveImm = 1 in
4078 def MOVCCi : ARMPseudoInst<(outs GPR:$Rd),
4079                            (ins GPR:$false, so_imm:$imm, pred:$p),
4080                            4, IIC_iCMOVi,
4081    [/*(set GPR:$Rd, (ARMcmov GPR:$false, so_imm:$imm, imm:$cc, CCR:$ccr))*/]>,
4082       RegConstraint<"$false = $Rd">;
4083
4084 // Two instruction predicate mov immediate.
4085 let isMoveImm = 1 in
4086 def MOVCCi32imm : ARMPseudoInst<(outs GPR:$Rd),
4087                                 (ins GPR:$false, i32imm:$src, pred:$p),
4088                   8, IIC_iCMOVix2, []>, RegConstraint<"$false = $Rd">;
4089
4090 let isMoveImm = 1 in
4091 def MVNCCi : ARMPseudoInst<(outs GPR:$Rd),
4092                            (ins GPR:$false, so_imm:$imm, pred:$p),
4093                            4, IIC_iCMOVi,
4094  [/*(set GPR:$Rd, (ARMcmov GPR:$false, so_imm_not:$imm, imm:$cc, CCR:$ccr))*/]>,
4095                 RegConstraint<"$false = $Rd">;
4096
4097 // Conditional instructions
4098 multiclass AsI1_bincc_irs<Instruction iri, Instruction irr, Instruction irsi,
4099                           Instruction irsr,
4100                           InstrItinClass iii, InstrItinClass iir,
4101                           InstrItinClass iis> {
4102   def ri  : ARMPseudoExpand<(outs GPR:$Rd),
4103                             (ins GPR:$Rn, so_imm:$imm, pred:$p, cc_out:$s),
4104                             4, iii, [],
4105                        (iri GPR:$Rd, GPR:$Rn, so_imm:$imm, pred:$p, cc_out:$s)>,
4106                             RegConstraint<"$Rn = $Rd">;
4107   def rr  : ARMPseudoExpand<(outs GPR:$Rd),
4108                             (ins GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s),
4109                             4, iir, [],
4110                            (irr GPR:$Rd, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
4111                             RegConstraint<"$Rn = $Rd">;
4112   def rsi : ARMPseudoExpand<(outs GPR:$Rd),
4113                            (ins GPR:$Rn, so_reg_imm:$shift, pred:$p, cc_out:$s),
4114                             4, iis, [],
4115                 (irsi GPR:$Rd, GPR:$Rn, so_reg_imm:$shift, pred:$p, cc_out:$s)>,
4116                             RegConstraint<"$Rn = $Rd">;
4117   def rsr : ARMPseudoExpand<(outs GPRnopc:$Rd),
4118                        (ins GPRnopc:$Rn, so_reg_reg:$shift, pred:$p, cc_out:$s),
4119                             4, iis, [],
4120                 (irsr GPR:$Rd, GPR:$Rn, so_reg_reg:$shift, pred:$p, cc_out:$s)>,
4121                             RegConstraint<"$Rn = $Rd">;
4122 }
4123
4124 defm ANDCC : AsI1_bincc_irs<ANDri, ANDrr, ANDrsi, ANDrsr,
4125                             IIC_iBITi, IIC_iBITr, IIC_iBITsr>;
4126 defm ORRCC : AsI1_bincc_irs<ORRri, ORRrr, ORRrsi, ORRrsr,
4127                             IIC_iBITi, IIC_iBITr, IIC_iBITsr>;
4128 defm EORCC : AsI1_bincc_irs<EORri, EORrr, EORrsi, EORrsr,
4129                             IIC_iBITi, IIC_iBITr, IIC_iBITsr>;
4130
4131 } // neverHasSideEffects
4132
4133
4134 //===----------------------------------------------------------------------===//
4135 // Atomic operations intrinsics
4136 //
4137
4138 def MemBarrierOptOperand : AsmOperandClass {
4139   let Name = "MemBarrierOpt";
4140   let ParserMethod = "parseMemBarrierOptOperand";
4141 }
4142 def memb_opt : Operand<i32> {
4143   let PrintMethod = "printMemBOption";
4144   let ParserMatchClass = MemBarrierOptOperand;
4145   let DecoderMethod = "DecodeMemBarrierOption";
4146 }
4147
4148 // memory barriers protect the atomic sequences
4149 let hasSideEffects = 1 in {
4150 def DMB : AInoP<(outs), (ins memb_opt:$opt), MiscFrm, NoItinerary,
4151                 "dmb", "\t$opt", [(ARMMemBarrier (i32 imm:$opt))]>,
4152                 Requires<[IsARM, HasDB]> {
4153   bits<4> opt;
4154   let Inst{31-4} = 0xf57ff05;
4155   let Inst{3-0} = opt;
4156 }
4157 }
4158
4159 def DSB : AInoP<(outs), (ins memb_opt:$opt), MiscFrm, NoItinerary,
4160                 "dsb", "\t$opt", []>,
4161                 Requires<[IsARM, HasDB]> {
4162   bits<4> opt;
4163   let Inst{31-4} = 0xf57ff04;
4164   let Inst{3-0} = opt;
4165 }
4166
4167 // ISB has only full system option
4168 def ISB : AInoP<(outs), (ins memb_opt:$opt), MiscFrm, NoItinerary,
4169                 "isb", "\t$opt", []>,
4170                 Requires<[IsARM, HasDB]> {
4171   bits<4> opt;
4172   let Inst{31-4} = 0xf57ff06;
4173   let Inst{3-0} = opt;
4174 }
4175
4176 // Pseudo isntruction that combines movs + predicated rsbmi
4177 // to implement integer ABS
4178 let usesCustomInserter = 1, Defs = [CPSR] in {
4179 def ABS : ARMPseudoInst<
4180   (outs GPR:$dst), (ins GPR:$src),
4181   8, NoItinerary, []>;
4182 }
4183
4184 let usesCustomInserter = 1 in {
4185   let Defs = [CPSR] in {
4186     def ATOMIC_LOAD_ADD_I8 : PseudoInst<
4187       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4188       [(set GPR:$dst, (atomic_load_add_8 GPR:$ptr, GPR:$incr))]>;
4189     def ATOMIC_LOAD_SUB_I8 : PseudoInst<
4190       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4191       [(set GPR:$dst, (atomic_load_sub_8 GPR:$ptr, GPR:$incr))]>;
4192     def ATOMIC_LOAD_AND_I8 : PseudoInst<
4193       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4194       [(set GPR:$dst, (atomic_load_and_8 GPR:$ptr, GPR:$incr))]>;
4195     def ATOMIC_LOAD_OR_I8 : PseudoInst<
4196       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4197       [(set GPR:$dst, (atomic_load_or_8 GPR:$ptr, GPR:$incr))]>;
4198     def ATOMIC_LOAD_XOR_I8 : PseudoInst<
4199       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4200       [(set GPR:$dst, (atomic_load_xor_8 GPR:$ptr, GPR:$incr))]>;
4201     def ATOMIC_LOAD_NAND_I8 : PseudoInst<
4202       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4203       [(set GPR:$dst, (atomic_load_nand_8 GPR:$ptr, GPR:$incr))]>;
4204     def ATOMIC_LOAD_MIN_I8 : PseudoInst<
4205       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4206       [(set GPR:$dst, (atomic_load_min_8 GPR:$ptr, GPR:$val))]>;
4207     def ATOMIC_LOAD_MAX_I8 : PseudoInst<
4208       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4209       [(set GPR:$dst, (atomic_load_max_8 GPR:$ptr, GPR:$val))]>;
4210     def ATOMIC_LOAD_UMIN_I8 : PseudoInst<
4211       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4212       [(set GPR:$dst, (atomic_load_umin_8 GPR:$ptr, GPR:$val))]>;
4213     def ATOMIC_LOAD_UMAX_I8 : PseudoInst<
4214       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4215       [(set GPR:$dst, (atomic_load_umax_8 GPR:$ptr, GPR:$val))]>;
4216     def ATOMIC_LOAD_ADD_I16 : PseudoInst<
4217       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4218       [(set GPR:$dst, (atomic_load_add_16 GPR:$ptr, GPR:$incr))]>;
4219     def ATOMIC_LOAD_SUB_I16 : PseudoInst<
4220       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4221       [(set GPR:$dst, (atomic_load_sub_16 GPR:$ptr, GPR:$incr))]>;
4222     def ATOMIC_LOAD_AND_I16 : PseudoInst<
4223       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4224       [(set GPR:$dst, (atomic_load_and_16 GPR:$ptr, GPR:$incr))]>;
4225     def ATOMIC_LOAD_OR_I16 : PseudoInst<
4226       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4227       [(set GPR:$dst, (atomic_load_or_16 GPR:$ptr, GPR:$incr))]>;
4228     def ATOMIC_LOAD_XOR_I16 : PseudoInst<
4229       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4230       [(set GPR:$dst, (atomic_load_xor_16 GPR:$ptr, GPR:$incr))]>;
4231     def ATOMIC_LOAD_NAND_I16 : PseudoInst<
4232       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4233       [(set GPR:$dst, (atomic_load_nand_16 GPR:$ptr, GPR:$incr))]>;
4234     def ATOMIC_LOAD_MIN_I16 : PseudoInst<
4235       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4236       [(set GPR:$dst, (atomic_load_min_16 GPR:$ptr, GPR:$val))]>;
4237     def ATOMIC_LOAD_MAX_I16 : PseudoInst<
4238       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4239       [(set GPR:$dst, (atomic_load_max_16 GPR:$ptr, GPR:$val))]>;
4240     def ATOMIC_LOAD_UMIN_I16 : PseudoInst<
4241       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4242       [(set GPR:$dst, (atomic_load_umin_16 GPR:$ptr, GPR:$val))]>;
4243     def ATOMIC_LOAD_UMAX_I16 : PseudoInst<
4244       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4245       [(set GPR:$dst, (atomic_load_umax_16 GPR:$ptr, GPR:$val))]>;
4246     def ATOMIC_LOAD_ADD_I32 : PseudoInst<
4247       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4248       [(set GPR:$dst, (atomic_load_add_32 GPR:$ptr, GPR:$incr))]>;
4249     def ATOMIC_LOAD_SUB_I32 : PseudoInst<
4250       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4251       [(set GPR:$dst, (atomic_load_sub_32 GPR:$ptr, GPR:$incr))]>;
4252     def ATOMIC_LOAD_AND_I32 : PseudoInst<
4253       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4254       [(set GPR:$dst, (atomic_load_and_32 GPR:$ptr, GPR:$incr))]>;
4255     def ATOMIC_LOAD_OR_I32 : PseudoInst<
4256       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4257       [(set GPR:$dst, (atomic_load_or_32 GPR:$ptr, GPR:$incr))]>;
4258     def ATOMIC_LOAD_XOR_I32 : PseudoInst<
4259       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4260       [(set GPR:$dst, (atomic_load_xor_32 GPR:$ptr, GPR:$incr))]>;
4261     def ATOMIC_LOAD_NAND_I32 : PseudoInst<
4262       (outs GPR:$dst), (ins GPR:$ptr, GPR:$incr), NoItinerary,
4263       [(set GPR:$dst, (atomic_load_nand_32 GPR:$ptr, GPR:$incr))]>;
4264     def ATOMIC_LOAD_MIN_I32 : PseudoInst<
4265       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4266       [(set GPR:$dst, (atomic_load_min_32 GPR:$ptr, GPR:$val))]>;
4267     def ATOMIC_LOAD_MAX_I32 : PseudoInst<
4268       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4269       [(set GPR:$dst, (atomic_load_max_32 GPR:$ptr, GPR:$val))]>;
4270     def ATOMIC_LOAD_UMIN_I32 : PseudoInst<
4271       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4272       [(set GPR:$dst, (atomic_load_umin_32 GPR:$ptr, GPR:$val))]>;
4273     def ATOMIC_LOAD_UMAX_I32 : PseudoInst<
4274       (outs GPR:$dst), (ins GPR:$ptr, GPR:$val), NoItinerary,
4275       [(set GPR:$dst, (atomic_load_umax_32 GPR:$ptr, GPR:$val))]>;
4276
4277     def ATOMIC_SWAP_I8 : PseudoInst<
4278       (outs GPR:$dst), (ins GPR:$ptr, GPR:$new), NoItinerary,
4279       [(set GPR:$dst, (atomic_swap_8 GPR:$ptr, GPR:$new))]>;
4280     def ATOMIC_SWAP_I16 : PseudoInst<
4281       (outs GPR:$dst), (ins GPR:$ptr, GPR:$new), NoItinerary,
4282       [(set GPR:$dst, (atomic_swap_16 GPR:$ptr, GPR:$new))]>;
4283     def ATOMIC_SWAP_I32 : PseudoInst<
4284       (outs GPR:$dst), (ins GPR:$ptr, GPR:$new), NoItinerary,
4285       [(set GPR:$dst, (atomic_swap_32 GPR:$ptr, GPR:$new))]>;
4286
4287     def ATOMIC_CMP_SWAP_I8 : PseudoInst<
4288       (outs GPR:$dst), (ins GPR:$ptr, GPR:$old, GPR:$new), NoItinerary,
4289       [(set GPR:$dst, (atomic_cmp_swap_8 GPR:$ptr, GPR:$old, GPR:$new))]>;
4290     def ATOMIC_CMP_SWAP_I16 : PseudoInst<
4291       (outs GPR:$dst), (ins GPR:$ptr, GPR:$old, GPR:$new), NoItinerary,
4292       [(set GPR:$dst, (atomic_cmp_swap_16 GPR:$ptr, GPR:$old, GPR:$new))]>;
4293     def ATOMIC_CMP_SWAP_I32 : PseudoInst<
4294       (outs GPR:$dst), (ins GPR:$ptr, GPR:$old, GPR:$new), NoItinerary,
4295       [(set GPR:$dst, (atomic_cmp_swap_32 GPR:$ptr, GPR:$old, GPR:$new))]>;
4296 }
4297 }
4298
4299 let mayLoad = 1 in {
4300 def LDREXB : AIldrex<0b10, (outs GPR:$Rt), (ins addr_offset_none:$addr),
4301                      NoItinerary,
4302                     "ldrexb", "\t$Rt, $addr", []>;
4303 def LDREXH : AIldrex<0b11, (outs GPR:$Rt), (ins addr_offset_none:$addr),
4304                      NoItinerary, "ldrexh", "\t$Rt, $addr", []>;
4305 def LDREX  : AIldrex<0b00, (outs GPR:$Rt), (ins addr_offset_none:$addr),
4306                      NoItinerary, "ldrex", "\t$Rt, $addr", []>;
4307 let hasExtraDefRegAllocReq = 1 in
4308 def LDREXD: AIldrex<0b01, (outs GPR:$Rt, GPR:$Rt2),(ins addr_offset_none:$addr),
4309                       NoItinerary, "ldrexd", "\t$Rt, $Rt2, $addr", []> {
4310   let DecoderMethod = "DecodeDoubleRegLoad";
4311 }
4312 }
4313
4314 let mayStore = 1, Constraints = "@earlyclobber $Rd" in {
4315 def STREXB: AIstrex<0b10, (outs GPR:$Rd), (ins GPR:$Rt, addr_offset_none:$addr),
4316                     NoItinerary, "strexb", "\t$Rd, $Rt, $addr", []>;
4317 def STREXH: AIstrex<0b11, (outs GPR:$Rd), (ins GPR:$Rt, addr_offset_none:$addr),
4318                     NoItinerary, "strexh", "\t$Rd, $Rt, $addr", []>;
4319 def STREX : AIstrex<0b00, (outs GPR:$Rd), (ins GPR:$Rt, addr_offset_none:$addr),
4320                     NoItinerary, "strex", "\t$Rd, $Rt, $addr", []>;
4321 let hasExtraSrcRegAllocReq = 1 in
4322 def STREXD : AIstrex<0b01, (outs GPR:$Rd),
4323                     (ins GPR:$Rt, GPR:$Rt2, addr_offset_none:$addr),
4324                     NoItinerary, "strexd", "\t$Rd, $Rt, $Rt2, $addr", []> {
4325   let DecoderMethod = "DecodeDoubleRegStore";
4326 }
4327 }
4328
4329
4330 def CLREX : AXI<(outs), (ins), MiscFrm, NoItinerary, "clrex", []>,
4331             Requires<[IsARM, HasV7]>  {
4332   let Inst{31-0} = 0b11110101011111111111000000011111;
4333 }
4334
4335 // SWP/SWPB are deprecated in V6/V7.
4336 let mayLoad = 1, mayStore = 1 in {
4337 def SWP : AIswp<0, (outs GPR:$Rt), (ins GPR:$Rt2, addr_offset_none:$addr),
4338                 "swp", []>;
4339 def SWPB: AIswp<1, (outs GPR:$Rt), (ins GPR:$Rt2, addr_offset_none:$addr),
4340                 "swpb", []>;
4341 }
4342
4343 //===----------------------------------------------------------------------===//
4344 // Coprocessor Instructions.
4345 //
4346
4347 def CDP : ABI<0b1110, (outs), (ins p_imm:$cop, imm0_15:$opc1,
4348             c_imm:$CRd, c_imm:$CRn, c_imm:$CRm, imm0_7:$opc2),
4349             NoItinerary, "cdp", "\t$cop, $opc1, $CRd, $CRn, $CRm, $opc2",
4350             [(int_arm_cdp imm:$cop, imm:$opc1, imm:$CRd, imm:$CRn,
4351                           imm:$CRm, imm:$opc2)]> {
4352   bits<4> opc1;
4353   bits<4> CRn;
4354   bits<4> CRd;
4355   bits<4> cop;
4356   bits<3> opc2;
4357   bits<4> CRm;
4358
4359   let Inst{3-0}   = CRm;
4360   let Inst{4}     = 0;
4361   let Inst{7-5}   = opc2;
4362   let Inst{11-8}  = cop;
4363   let Inst{15-12} = CRd;
4364   let Inst{19-16} = CRn;
4365   let Inst{23-20} = opc1;
4366 }
4367
4368 def CDP2 : ABXI<0b1110, (outs), (ins p_imm:$cop, imm0_15:$opc1,
4369                c_imm:$CRd, c_imm:$CRn, c_imm:$CRm, imm0_7:$opc2),
4370                NoItinerary, "cdp2\t$cop, $opc1, $CRd, $CRn, $CRm, $opc2",
4371                [(int_arm_cdp2 imm:$cop, imm:$opc1, imm:$CRd, imm:$CRn,
4372                               imm:$CRm, imm:$opc2)]> {
4373   let Inst{31-28} = 0b1111;
4374   bits<4> opc1;
4375   bits<4> CRn;
4376   bits<4> CRd;
4377   bits<4> cop;
4378   bits<3> opc2;
4379   bits<4> CRm;
4380
4381   let Inst{3-0}   = CRm;
4382   let Inst{4}     = 0;
4383   let Inst{7-5}   = opc2;
4384   let Inst{11-8}  = cop;
4385   let Inst{15-12} = CRd;
4386   let Inst{19-16} = CRn;
4387   let Inst{23-20} = opc1;
4388 }
4389
4390 class ACI<dag oops, dag iops, string opc, string asm,
4391           IndexMode im = IndexModeNone>
4392   : I<oops, iops, AddrModeNone, 4, im, BrFrm, NoItinerary,
4393       opc, asm, "", []> {
4394   let Inst{27-25} = 0b110;
4395 }
4396 class ACInoP<dag oops, dag iops, string opc, string asm,
4397           IndexMode im = IndexModeNone>
4398   : InoP<oops, iops, AddrModeNone, 4, im, BrFrm, NoItinerary,
4399          opc, asm, "", []> {
4400   let Inst{31-28} = 0b1111;
4401   let Inst{27-25} = 0b110;
4402 }
4403 multiclass LdStCop<bit load, bit Dbit, string asm> {
4404   def _OFFSET : ACI<(outs), (ins p_imm:$cop, c_imm:$CRd, addrmode5:$addr),
4405                     asm, "\t$cop, $CRd, $addr"> {
4406     bits<13> addr;
4407     bits<4> cop;
4408     bits<4> CRd;
4409     let Inst{24} = 1; // P = 1
4410     let Inst{23} = addr{8};
4411     let Inst{22} = Dbit;
4412     let Inst{21} = 0; // W = 0
4413     let Inst{20} = load;
4414     let Inst{19-16} = addr{12-9};
4415     let Inst{15-12} = CRd;
4416     let Inst{11-8} = cop;
4417     let Inst{7-0} = addr{7-0};
4418     let DecoderMethod = "DecodeCopMemInstruction";
4419   }
4420   def _PRE : ACI<(outs), (ins p_imm:$cop, c_imm:$CRd, addrmode5:$addr),
4421                  asm, "\t$cop, $CRd, $addr!", IndexModePre> {
4422     bits<13> addr;
4423     bits<4> cop;
4424     bits<4> CRd;
4425     let Inst{24} = 1; // P = 1
4426     let Inst{23} = addr{8};
4427     let Inst{22} = Dbit;
4428     let Inst{21} = 1; // W = 1
4429     let Inst{20} = load;
4430     let Inst{19-16} = addr{12-9};
4431     let Inst{15-12} = CRd;
4432     let Inst{11-8} = cop;
4433     let Inst{7-0} = addr{7-0};
4434     let DecoderMethod = "DecodeCopMemInstruction";
4435   }
4436   def _POST: ACI<(outs), (ins p_imm:$cop, c_imm:$CRd, addr_offset_none:$addr,
4437                               postidx_imm8s4:$offset),
4438                  asm, "\t$cop, $CRd, $addr, $offset", IndexModePost> {
4439     bits<9> offset;
4440     bits<4> addr;
4441     bits<4> cop;
4442     bits<4> CRd;
4443     let Inst{24} = 0; // P = 0
4444     let Inst{23} = offset{8};
4445     let Inst{22} = Dbit;
4446     let Inst{21} = 1; // W = 1
4447     let Inst{20} = load;
4448     let Inst{19-16} = addr;
4449     let Inst{15-12} = CRd;
4450     let Inst{11-8} = cop;
4451     let Inst{7-0} = offset{7-0};
4452     let DecoderMethod = "DecodeCopMemInstruction";
4453   }
4454   def _OPTION : ACI<(outs),
4455                     (ins p_imm:$cop, c_imm:$CRd, addr_offset_none:$addr,
4456                          coproc_option_imm:$option),
4457       asm, "\t$cop, $CRd, $addr, $option"> {
4458     bits<8> option;
4459     bits<4> addr;
4460     bits<4> cop;
4461     bits<4> CRd;
4462     let Inst{24} = 0; // P = 0
4463     let Inst{23} = 1; // U = 1
4464     let Inst{22} = Dbit;
4465     let Inst{21} = 0; // W = 0
4466     let Inst{20} = load;
4467     let Inst{19-16} = addr;
4468     let Inst{15-12} = CRd;
4469     let Inst{11-8} = cop;
4470     let Inst{7-0} = option;
4471     let DecoderMethod = "DecodeCopMemInstruction";
4472   }
4473 }
4474 multiclass LdSt2Cop<bit load, bit Dbit, string asm> {
4475   def _OFFSET : ACInoP<(outs), (ins p_imm:$cop, c_imm:$CRd, addrmode5:$addr),
4476                        asm, "\t$cop, $CRd, $addr"> {
4477     bits<13> addr;
4478     bits<4> cop;
4479     bits<4> CRd;
4480     let Inst{24} = 1; // P = 1
4481     let Inst{23} = addr{8};
4482     let Inst{22} = Dbit;
4483     let Inst{21} = 0; // W = 0
4484     let Inst{20} = load;
4485     let Inst{19-16} = addr{12-9};
4486     let Inst{15-12} = CRd;
4487     let Inst{11-8} = cop;
4488     let Inst{7-0} = addr{7-0};
4489     let DecoderMethod = "DecodeCopMemInstruction";
4490   }
4491   def _PRE : ACInoP<(outs), (ins p_imm:$cop, c_imm:$CRd, addrmode5:$addr),
4492                     asm, "\t$cop, $CRd, $addr!", IndexModePre> {
4493     bits<13> addr;
4494     bits<4> cop;
4495     bits<4> CRd;
4496     let Inst{24} = 1; // P = 1
4497     let Inst{23} = addr{8};
4498     let Inst{22} = Dbit;
4499     let Inst{21} = 1; // W = 1
4500     let Inst{20} = load;
4501     let Inst{19-16} = addr{12-9};
4502     let Inst{15-12} = CRd;
4503     let Inst{11-8} = cop;
4504     let Inst{7-0} = addr{7-0};
4505     let DecoderMethod = "DecodeCopMemInstruction";
4506   }
4507   def _POST: ACInoP<(outs), (ins p_imm:$cop, c_imm:$CRd, addr_offset_none:$addr,
4508                                  postidx_imm8s4:$offset),
4509                  asm, "\t$cop, $CRd, $addr, $offset", IndexModePost> {
4510     bits<9> offset;
4511     bits<4> addr;
4512     bits<4> cop;
4513     bits<4> CRd;
4514     let Inst{24} = 0; // P = 0
4515     let Inst{23} = offset{8};
4516     let Inst{22} = Dbit;
4517     let Inst{21} = 1; // W = 1
4518     let Inst{20} = load;
4519     let Inst{19-16} = addr;
4520     let Inst{15-12} = CRd;
4521     let Inst{11-8} = cop;
4522     let Inst{7-0} = offset{7-0};
4523     let DecoderMethod = "DecodeCopMemInstruction";
4524   }
4525   def _OPTION : ACInoP<(outs),
4526                        (ins p_imm:$cop, c_imm:$CRd, addr_offset_none:$addr,
4527                             coproc_option_imm:$option),
4528       asm, "\t$cop, $CRd, $addr, $option"> {
4529     bits<8> option;
4530     bits<4> addr;
4531     bits<4> cop;
4532     bits<4> CRd;
4533     let Inst{24} = 0; // P = 0
4534     let Inst{23} = 1; // U = 1
4535     let Inst{22} = Dbit;
4536     let Inst{21} = 0; // W = 0
4537     let Inst{20} = load;
4538     let Inst{19-16} = addr;
4539     let Inst{15-12} = CRd;
4540     let Inst{11-8} = cop;
4541     let Inst{7-0} = option;
4542     let DecoderMethod = "DecodeCopMemInstruction";
4543   }
4544 }
4545
4546 defm LDC   : LdStCop <1, 0, "ldc">;
4547 defm LDCL  : LdStCop <1, 1, "ldcl">;
4548 defm STC   : LdStCop <0, 0, "stc">;
4549 defm STCL  : LdStCop <0, 1, "stcl">;
4550 defm LDC2  : LdSt2Cop<1, 0, "ldc2">;
4551 defm LDC2L : LdSt2Cop<1, 1, "ldc2l">;
4552 defm STC2  : LdSt2Cop<0, 0, "stc2">;
4553 defm STC2L : LdSt2Cop<0, 1, "stc2l">;
4554
4555 //===----------------------------------------------------------------------===//
4556 // Move between coprocessor and ARM core register.
4557 //
4558
4559 class MovRCopro<string opc, bit direction, dag oops, dag iops,
4560                 list<dag> pattern>
4561   : ABI<0b1110, oops, iops, NoItinerary, opc,
4562         "\t$cop, $opc1, $Rt, $CRn, $CRm, $opc2", pattern> {
4563   let Inst{20} = direction;
4564   let Inst{4} = 1;
4565
4566   bits<4> Rt;
4567   bits<4> cop;
4568   bits<3> opc1;
4569   bits<3> opc2;
4570   bits<4> CRm;
4571   bits<4> CRn;
4572
4573   let Inst{15-12} = Rt;
4574   let Inst{11-8}  = cop;
4575   let Inst{23-21} = opc1;
4576   let Inst{7-5}   = opc2;
4577   let Inst{3-0}   = CRm;
4578   let Inst{19-16} = CRn;
4579 }
4580
4581 def MCR : MovRCopro<"mcr", 0 /* from ARM core register to coprocessor */,
4582                     (outs),
4583                     (ins p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn,
4584                          c_imm:$CRm, imm0_7:$opc2),
4585                     [(int_arm_mcr imm:$cop, imm:$opc1, GPR:$Rt, imm:$CRn,
4586                                   imm:$CRm, imm:$opc2)]>;
4587 def : ARMInstAlias<"mcr${p} $cop, $opc1, $Rt, $CRn, $CRm",
4588                    (MCR p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn,
4589                         c_imm:$CRm, 0, pred:$p)>;
4590 def MRC : MovRCopro<"mrc", 1 /* from coprocessor to ARM core register */,
4591                     (outs GPR:$Rt),
4592                     (ins p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm,
4593                          imm0_7:$opc2), []>;
4594 def : ARMInstAlias<"mrc${p} $cop, $opc1, $Rt, $CRn, $CRm",
4595                    (MRC GPR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn,
4596                         c_imm:$CRm, 0, pred:$p)>;
4597
4598 def : ARMPat<(int_arm_mrc imm:$cop, imm:$opc1, imm:$CRn, imm:$CRm, imm:$opc2),
4599              (MRC imm:$cop, imm:$opc1, imm:$CRn, imm:$CRm, imm:$opc2)>;
4600
4601 class MovRCopro2<string opc, bit direction, dag oops, dag iops,
4602                  list<dag> pattern>
4603   : ABXI<0b1110, oops, iops, NoItinerary,
4604          !strconcat(opc, "\t$cop, $opc1, $Rt, $CRn, $CRm, $opc2"), pattern> {
4605   let Inst{31-28} = 0b1111;
4606   let Inst{20} = direction;
4607   let Inst{4} = 1;
4608
4609   bits<4> Rt;
4610   bits<4> cop;
4611   bits<3> opc1;
4612   bits<3> opc2;
4613   bits<4> CRm;
4614   bits<4> CRn;
4615
4616   let Inst{15-12} = Rt;
4617   let Inst{11-8}  = cop;
4618   let Inst{23-21} = opc1;
4619   let Inst{7-5}   = opc2;
4620   let Inst{3-0}   = CRm;
4621   let Inst{19-16} = CRn;
4622 }
4623
4624 def MCR2 : MovRCopro2<"mcr2", 0 /* from ARM core register to coprocessor */,
4625                       (outs),
4626                       (ins p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn,
4627                            c_imm:$CRm, imm0_7:$opc2),
4628                       [(int_arm_mcr2 imm:$cop, imm:$opc1, GPR:$Rt, imm:$CRn,
4629                                      imm:$CRm, imm:$opc2)]>;
4630 def : ARMInstAlias<"mcr2$ $cop, $opc1, $Rt, $CRn, $CRm",
4631                    (MCR2 p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn,
4632                          c_imm:$CRm, 0)>;
4633 def MRC2 : MovRCopro2<"mrc2", 1 /* from coprocessor to ARM core register */,
4634                       (outs GPR:$Rt),
4635                       (ins p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm,
4636                            imm0_7:$opc2), []>;
4637 def : ARMInstAlias<"mrc2$ $cop, $opc1, $Rt, $CRn, $CRm",
4638                    (MRC2 GPR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn,
4639                          c_imm:$CRm, 0)>;
4640
4641 def : ARMV5TPat<(int_arm_mrc2 imm:$cop, imm:$opc1, imm:$CRn,
4642                               imm:$CRm, imm:$opc2),
4643                 (MRC2 imm:$cop, imm:$opc1, imm:$CRn, imm:$CRm, imm:$opc2)>;
4644
4645 class MovRRCopro<string opc, bit direction, list<dag> pattern = []>
4646   : ABI<0b1100, (outs), (ins p_imm:$cop, imm0_15:$opc1,
4647         GPR:$Rt, GPR:$Rt2, c_imm:$CRm),
4648         NoItinerary, opc, "\t$cop, $opc1, $Rt, $Rt2, $CRm", pattern> {
4649   let Inst{23-21} = 0b010;
4650   let Inst{20} = direction;
4651
4652   bits<4> Rt;
4653   bits<4> Rt2;
4654   bits<4> cop;
4655   bits<4> opc1;
4656   bits<4> CRm;
4657
4658   let Inst{15-12} = Rt;
4659   let Inst{19-16} = Rt2;
4660   let Inst{11-8}  = cop;
4661   let Inst{7-4}   = opc1;
4662   let Inst{3-0}   = CRm;
4663 }
4664
4665 def MCRR : MovRRCopro<"mcrr", 0 /* from ARM core register to coprocessor */,
4666                       [(int_arm_mcrr imm:$cop, imm:$opc1, GPR:$Rt, GPR:$Rt2,
4667                                      imm:$CRm)]>;
4668 def MRRC : MovRRCopro<"mrrc", 1 /* from coprocessor to ARM core register */>;
4669
4670 class MovRRCopro2<string opc, bit direction, list<dag> pattern = []>
4671   : ABXI<0b1100, (outs), (ins p_imm:$cop, imm0_15:$opc1,
4672          GPR:$Rt, GPR:$Rt2, c_imm:$CRm), NoItinerary,
4673          !strconcat(opc, "\t$cop, $opc1, $Rt, $Rt2, $CRm"), pattern> {
4674   let Inst{31-28} = 0b1111;
4675   let Inst{23-21} = 0b010;
4676   let Inst{20} = direction;
4677
4678   bits<4> Rt;
4679   bits<4> Rt2;
4680   bits<4> cop;
4681   bits<4> opc1;
4682   bits<4> CRm;
4683
4684   let Inst{15-12} = Rt;
4685   let Inst{19-16} = Rt2;
4686   let Inst{11-8}  = cop;
4687   let Inst{7-4}   = opc1;
4688   let Inst{3-0}   = CRm;
4689 }
4690
4691 def MCRR2 : MovRRCopro2<"mcrr2", 0 /* from ARM core register to coprocessor */,
4692                         [(int_arm_mcrr2 imm:$cop, imm:$opc1, GPR:$Rt, GPR:$Rt2,
4693                                         imm:$CRm)]>;
4694 def MRRC2 : MovRRCopro2<"mrrc2", 1 /* from coprocessor to ARM core register */>;
4695
4696 //===----------------------------------------------------------------------===//
4697 // Move between special register and ARM core register
4698 //
4699
4700 // Move to ARM core register from Special Register
4701 def MRS : ABI<0b0001, (outs GPR:$Rd), (ins), NoItinerary,
4702               "mrs", "\t$Rd, apsr", []> {
4703   bits<4> Rd;
4704   let Inst{23-16} = 0b00001111;
4705   let Inst{15-12} = Rd;
4706   let Inst{7-4} = 0b0000;
4707 }
4708
4709 def : InstAlias<"mrs${p} $Rd, cpsr", (MRS GPR:$Rd, pred:$p)>, Requires<[IsARM]>;
4710
4711 def MRSsys : ABI<0b0001, (outs GPR:$Rd), (ins), NoItinerary,
4712                  "mrs", "\t$Rd, spsr", []> {
4713   bits<4> Rd;
4714   let Inst{23-16} = 0b01001111;
4715   let Inst{15-12} = Rd;
4716   let Inst{7-4} = 0b0000;
4717 }
4718
4719 // Move from ARM core register to Special Register
4720 //
4721 // No need to have both system and application versions, the encodings are the
4722 // same and the assembly parser has no way to distinguish between them. The mask
4723 // operand contains the special register (R Bit) in bit 4 and bits 3-0 contains
4724 // the mask with the fields to be accessed in the special register.
4725 def MSR : ABI<0b0001, (outs), (ins msr_mask:$mask, GPR:$Rn), NoItinerary,
4726               "msr", "\t$mask, $Rn", []> {
4727   bits<5> mask;
4728   bits<4> Rn;
4729
4730   let Inst{23} = 0;
4731   let Inst{22} = mask{4}; // R bit
4732   let Inst{21-20} = 0b10;
4733   let Inst{19-16} = mask{3-0};
4734   let Inst{15-12} = 0b1111;
4735   let Inst{11-4} = 0b00000000;
4736   let Inst{3-0} = Rn;
4737 }
4738
4739 def MSRi : ABI<0b0011, (outs), (ins msr_mask:$mask,  so_imm:$a), NoItinerary,
4740                "msr", "\t$mask, $a", []> {
4741   bits<5> mask;
4742   bits<12> a;
4743
4744   let Inst{23} = 0;
4745   let Inst{22} = mask{4}; // R bit
4746   let Inst{21-20} = 0b10;
4747   let Inst{19-16} = mask{3-0};
4748   let Inst{15-12} = 0b1111;
4749   let Inst{11-0} = a;
4750 }
4751
4752 //===----------------------------------------------------------------------===//
4753 // TLS Instructions
4754 //
4755
4756 // __aeabi_read_tp preserves the registers r1-r3.
4757 // This is a pseudo inst so that we can get the encoding right,
4758 // complete with fixup for the aeabi_read_tp function.
4759 let isCall = 1,
4760   Defs = [R0, R12, LR, CPSR], Uses = [SP] in {
4761   def TPsoft : PseudoInst<(outs), (ins), IIC_Br,
4762                [(set R0, ARMthread_pointer)]>;
4763 }
4764
4765 //===----------------------------------------------------------------------===//
4766 // SJLJ Exception handling intrinsics
4767 //   eh_sjlj_setjmp() is an instruction sequence to store the return
4768 //   address and save #0 in R0 for the non-longjmp case.
4769 //   Since by its nature we may be coming from some other function to get
4770 //   here, and we're using the stack frame for the containing function to
4771 //   save/restore registers, we can't keep anything live in regs across
4772 //   the eh_sjlj_setjmp(), else it will almost certainly have been tromped upon
4773 //   when we get here from a longjmp(). We force everything out of registers
4774 //   except for our own input by listing the relevant registers in Defs. By
4775 //   doing so, we also cause the prologue/epilogue code to actively preserve
4776 //   all of the callee-saved resgisters, which is exactly what we want.
4777 //   A constant value is passed in $val, and we use the location as a scratch.
4778 //
4779 // These are pseudo-instructions and are lowered to individual MC-insts, so
4780 // no encoding information is necessary.
4781 let Defs =
4782   [ R0,  R1,  R2,  R3,  R4,  R5,  R6,  R7,  R8,  R9,  R10, R11, R12, LR, CPSR,
4783     Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, Q8, Q9, Q10, Q11, Q12, Q13, Q14, Q15 ],
4784   hasSideEffects = 1, isBarrier = 1, usesCustomInserter = 1 in {
4785   def Int_eh_sjlj_setjmp : PseudoInst<(outs), (ins GPR:$src, GPR:$val),
4786                                NoItinerary,
4787                          [(set R0, (ARMeh_sjlj_setjmp GPR:$src, GPR:$val))]>,
4788                            Requires<[IsARM, HasVFP2]>;
4789 }
4790
4791 let Defs =
4792   [ R0,  R1,  R2,  R3,  R4,  R5,  R6,  R7,  R8,  R9,  R10, R11, R12, LR, CPSR ],
4793   hasSideEffects = 1, isBarrier = 1, usesCustomInserter = 1 in {
4794   def Int_eh_sjlj_setjmp_nofp : PseudoInst<(outs), (ins GPR:$src, GPR:$val),
4795                                    NoItinerary,
4796                          [(set R0, (ARMeh_sjlj_setjmp GPR:$src, GPR:$val))]>,
4797                                 Requires<[IsARM, NoVFP]>;
4798 }
4799
4800 // FIXME: Non-IOS version(s)
4801 let isBarrier = 1, hasSideEffects = 1, isTerminator = 1,
4802     Defs = [ R7, LR, SP ] in {
4803 def Int_eh_sjlj_longjmp : PseudoInst<(outs), (ins GPR:$src, GPR:$scratch),
4804                              NoItinerary,
4805                          [(ARMeh_sjlj_longjmp GPR:$src, GPR:$scratch)]>,
4806                                 Requires<[IsARM, IsIOS]>;
4807 }
4808
4809 // eh.sjlj.dispatchsetup pseudo-instructions.
4810 // These pseudos are used for both ARM and Thumb2. Any differences are
4811 // handled when the pseudo is expanded (which happens before any passes
4812 // that need the instruction size).
4813 let Defs =
4814   [ R0,  R1,  R2,  R3,  R4,  R5,  R6,  R7,  R8,  R9,  R10, R11, R12, LR, CPSR,
4815     Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, Q8, Q9, Q10, Q11, Q12, Q13, Q14, Q15 ],
4816   isBarrier = 1 in
4817 def Int_eh_sjlj_dispatchsetup : PseudoInst<(outs), (ins), NoItinerary, []>;
4818
4819 let Defs =
4820   [ R0,  R1,  R2,  R3,  R4,  R5,  R6,  R7,  R8,  R9,  R10, R11, R12, LR, CPSR ],
4821   isBarrier = 1 in
4822 def Int_eh_sjlj_dispatchsetup_nofp : PseudoInst<(outs), (ins), NoItinerary, []>;
4823
4824
4825 //===----------------------------------------------------------------------===//
4826 // Non-Instruction Patterns
4827 //
4828
4829 // ARMv4 indirect branch using (MOVr PC, dst)
4830 let isBranch = 1, isTerminator = 1, isBarrier = 1, isIndirectBranch = 1 in
4831   def MOVPCRX : ARMPseudoExpand<(outs), (ins GPR:$dst),
4832                     4, IIC_Br, [(brind GPR:$dst)],
4833                     (MOVr PC, GPR:$dst, (ops 14, zero_reg), zero_reg)>,
4834                   Requires<[IsARM, NoV4T]>;
4835
4836 // Large immediate handling.
4837
4838 // 32-bit immediate using two piece so_imms or movw + movt.
4839 // This is a single pseudo instruction, the benefit is that it can be remat'd
4840 // as a single unit instead of having to handle reg inputs.
4841 // FIXME: Remove this when we can do generalized remat.
4842 let isReMaterializable = 1, isMoveImm = 1 in
4843 def MOVi32imm : PseudoInst<(outs GPR:$dst), (ins i32imm:$src), IIC_iMOVix2,
4844                            [(set GPR:$dst, (arm_i32imm:$src))]>,
4845                            Requires<[IsARM]>;
4846
4847 // Pseudo instruction that combines movw + movt + add pc (if PIC).
4848 // It also makes it possible to rematerialize the instructions.
4849 // FIXME: Remove this when we can do generalized remat and when machine licm
4850 // can properly the instructions.
4851 let isReMaterializable = 1 in {
4852 def MOV_ga_pcrel : PseudoInst<(outs GPR:$dst), (ins i32imm:$addr),
4853                               IIC_iMOVix2addpc,
4854                         [(set GPR:$dst, (ARMWrapperPIC tglobaladdr:$addr))]>,
4855                         Requires<[IsARM, UseMovt]>;
4856
4857 def MOV_ga_dyn : PseudoInst<(outs GPR:$dst), (ins i32imm:$addr),
4858                              IIC_iMOVix2,
4859                         [(set GPR:$dst, (ARMWrapperDYN tglobaladdr:$addr))]>,
4860                         Requires<[IsARM, UseMovt]>;
4861
4862 let AddedComplexity = 10 in
4863 def MOV_ga_pcrel_ldr : PseudoInst<(outs GPR:$dst), (ins i32imm:$addr),
4864                                 IIC_iMOVix2ld,
4865                     [(set GPR:$dst, (load (ARMWrapperPIC tglobaladdr:$addr)))]>,
4866                     Requires<[IsARM, UseMovt]>;
4867 } // isReMaterializable
4868
4869 // ConstantPool, GlobalAddress, and JumpTable
4870 def : ARMPat<(ARMWrapper  tglobaladdr :$dst), (LEApcrel tglobaladdr :$dst)>,
4871             Requires<[IsARM, DontUseMovt]>;
4872 def : ARMPat<(ARMWrapper  tconstpool  :$dst), (LEApcrel tconstpool  :$dst)>;
4873 def : ARMPat<(ARMWrapper  tglobaladdr :$dst), (MOVi32imm tglobaladdr :$dst)>,
4874             Requires<[IsARM, UseMovt]>;
4875 def : ARMPat<(ARMWrapperJT tjumptable:$dst, imm:$id),
4876              (LEApcrelJT tjumptable:$dst, imm:$id)>;
4877
4878 // TODO: add,sub,and, 3-instr forms?
4879
4880 // Tail calls
4881 def : ARMPat<(ARMtcret tcGPR:$dst),
4882           (TCRETURNri tcGPR:$dst)>, Requires<[IsIOS]>;
4883
4884 def : ARMPat<(ARMtcret (i32 tglobaladdr:$dst)),
4885           (TCRETURNdi texternalsym:$dst)>, Requires<[IsIOS]>;
4886
4887 def : ARMPat<(ARMtcret (i32 texternalsym:$dst)),
4888           (TCRETURNdi texternalsym:$dst)>, Requires<[IsIOS]>;
4889
4890 def : ARMPat<(ARMtcret tcGPR:$dst),
4891           (TCRETURNriND tcGPR:$dst)>, Requires<[IsNotIOS]>;
4892
4893 def : ARMPat<(ARMtcret (i32 tglobaladdr:$dst)),
4894           (TCRETURNdiND texternalsym:$dst)>, Requires<[IsNotIOS]>;
4895
4896 def : ARMPat<(ARMtcret (i32 texternalsym:$dst)),
4897           (TCRETURNdiND texternalsym:$dst)>, Requires<[IsNotIOS]>;
4898
4899 // Direct calls
4900 def : ARMPat<(ARMcall texternalsym:$func), (BL texternalsym:$func)>,
4901       Requires<[IsARM, IsNotIOS]>;
4902 def : ARMPat<(ARMcall texternalsym:$func), (BLr9 texternalsym:$func)>,
4903       Requires<[IsARM, IsIOS]>;
4904 def : ARMPat<(ARMcall_nolink texternalsym:$func),
4905              (BMOVPCB_CALL texternalsym:$func)>,
4906       Requires<[IsARM, IsNotIOS]>;
4907 def : ARMPat<(ARMcall_nolink texternalsym:$func),
4908              (BMOVPCBr9_CALL texternalsym:$func)>,
4909       Requires<[IsARM, IsIOS]>;
4910
4911 // zextload i1 -> zextload i8
4912 def : ARMPat<(zextloadi1 addrmode_imm12:$addr), (LDRBi12 addrmode_imm12:$addr)>;
4913 def : ARMPat<(zextloadi1 ldst_so_reg:$addr),    (LDRBrs ldst_so_reg:$addr)>;
4914
4915 // extload -> zextload
4916 def : ARMPat<(extloadi1 addrmode_imm12:$addr),  (LDRBi12 addrmode_imm12:$addr)>;
4917 def : ARMPat<(extloadi1 ldst_so_reg:$addr),     (LDRBrs ldst_so_reg:$addr)>;
4918 def : ARMPat<(extloadi8 addrmode_imm12:$addr),  (LDRBi12 addrmode_imm12:$addr)>;
4919 def : ARMPat<(extloadi8 ldst_so_reg:$addr),     (LDRBrs ldst_so_reg:$addr)>;
4920
4921 def : ARMPat<(extloadi16 addrmode3:$addr),  (LDRH addrmode3:$addr)>;
4922
4923 def : ARMPat<(extloadi8  addrmodepc:$addr), (PICLDRB addrmodepc:$addr)>;
4924 def : ARMPat<(extloadi16 addrmodepc:$addr), (PICLDRH addrmodepc:$addr)>;
4925
4926 // smul* and smla*
4927 def : ARMV5TEPat<(mul (sra (shl GPR:$a, (i32 16)), (i32 16)),
4928                       (sra (shl GPR:$b, (i32 16)), (i32 16))),
4929                  (SMULBB GPR:$a, GPR:$b)>;
4930 def : ARMV5TEPat<(mul sext_16_node:$a, sext_16_node:$b),
4931                  (SMULBB GPR:$a, GPR:$b)>;
4932 def : ARMV5TEPat<(mul (sra (shl GPR:$a, (i32 16)), (i32 16)),
4933                       (sra GPR:$b, (i32 16))),
4934                  (SMULBT GPR:$a, GPR:$b)>;
4935 def : ARMV5TEPat<(mul sext_16_node:$a, (sra GPR:$b, (i32 16))),
4936                  (SMULBT GPR:$a, GPR:$b)>;
4937 def : ARMV5TEPat<(mul (sra GPR:$a, (i32 16)),
4938                       (sra (shl GPR:$b, (i32 16)), (i32 16))),
4939                  (SMULTB GPR:$a, GPR:$b)>;
4940 def : ARMV5TEPat<(mul (sra GPR:$a, (i32 16)), sext_16_node:$b),
4941                 (SMULTB GPR:$a, GPR:$b)>;
4942 def : ARMV5TEPat<(sra (mul GPR:$a, (sra (shl GPR:$b, (i32 16)), (i32 16))),
4943                       (i32 16)),
4944                  (SMULWB GPR:$a, GPR:$b)>;
4945 def : ARMV5TEPat<(sra (mul GPR:$a, sext_16_node:$b), (i32 16)),
4946                  (SMULWB GPR:$a, GPR:$b)>;
4947
4948 def : ARMV5TEPat<(add GPR:$acc,
4949                       (mul (sra (shl GPR:$a, (i32 16)), (i32 16)),
4950                            (sra (shl GPR:$b, (i32 16)), (i32 16)))),
4951                  (SMLABB GPR:$a, GPR:$b, GPR:$acc)>;
4952 def : ARMV5TEPat<(add GPR:$acc,
4953                       (mul sext_16_node:$a, sext_16_node:$b)),
4954                  (SMLABB GPR:$a, GPR:$b, GPR:$acc)>;
4955 def : ARMV5TEPat<(add GPR:$acc,
4956                       (mul (sra (shl GPR:$a, (i32 16)), (i32 16)),
4957                            (sra GPR:$b, (i32 16)))),
4958                  (SMLABT GPR:$a, GPR:$b, GPR:$acc)>;
4959 def : ARMV5TEPat<(add GPR:$acc,
4960                       (mul sext_16_node:$a, (sra GPR:$b, (i32 16)))),
4961                  (SMLABT GPR:$a, GPR:$b, GPR:$acc)>;
4962 def : ARMV5TEPat<(add GPR:$acc,
4963                       (mul (sra GPR:$a, (i32 16)),
4964                            (sra (shl GPR:$b, (i32 16)), (i32 16)))),
4965                  (SMLATB GPR:$a, GPR:$b, GPR:$acc)>;
4966 def : ARMV5TEPat<(add GPR:$acc,
4967                       (mul (sra GPR:$a, (i32 16)), sext_16_node:$b)),
4968                  (SMLATB GPR:$a, GPR:$b, GPR:$acc)>;
4969 def : ARMV5TEPat<(add GPR:$acc,
4970                       (sra (mul GPR:$a, (sra (shl GPR:$b, (i32 16)), (i32 16))),
4971                            (i32 16))),
4972                  (SMLAWB GPR:$a, GPR:$b, GPR:$acc)>;
4973 def : ARMV5TEPat<(add GPR:$acc,
4974                       (sra (mul GPR:$a, sext_16_node:$b), (i32 16))),
4975                  (SMLAWB GPR:$a, GPR:$b, GPR:$acc)>;
4976
4977
4978 // Pre-v7 uses MCR for synchronization barriers.
4979 def : ARMPat<(ARMMemBarrierMCR GPR:$zero), (MCR 15, 0, GPR:$zero, 7, 10, 5)>,
4980          Requires<[IsARM, HasV6]>;
4981
4982 // SXT/UXT with no rotate
4983 let AddedComplexity = 16 in {
4984 def : ARMV6Pat<(and GPR:$Src, 0x000000FF), (UXTB GPR:$Src, 0)>;
4985 def : ARMV6Pat<(and GPR:$Src, 0x0000FFFF), (UXTH GPR:$Src, 0)>;
4986 def : ARMV6Pat<(and GPR:$Src, 0x00FF00FF), (UXTB16 GPR:$Src, 0)>;
4987 def : ARMV6Pat<(add GPR:$Rn, (and GPR:$Rm, 0x00FF)),
4988                (UXTAB GPR:$Rn, GPR:$Rm, 0)>;
4989 def : ARMV6Pat<(add GPR:$Rn, (and GPR:$Rm, 0xFFFF)),
4990                (UXTAH GPR:$Rn, GPR:$Rm, 0)>;
4991 }
4992
4993 def : ARMV6Pat<(sext_inreg GPR:$Src, i8),  (SXTB GPR:$Src, 0)>;
4994 def : ARMV6Pat<(sext_inreg GPR:$Src, i16), (SXTH GPR:$Src, 0)>;
4995
4996 def : ARMV6Pat<(add GPR:$Rn, (sext_inreg GPRnopc:$Rm, i8)),
4997                (SXTAB GPR:$Rn, GPRnopc:$Rm, 0)>;
4998 def : ARMV6Pat<(add GPR:$Rn, (sext_inreg GPRnopc:$Rm, i16)),
4999                (SXTAH GPR:$Rn, GPRnopc:$Rm, 0)>;
5000
5001 // Atomic load/store patterns
5002 def : ARMPat<(atomic_load_8 ldst_so_reg:$src),
5003              (LDRBrs ldst_so_reg:$src)>;
5004 def : ARMPat<(atomic_load_8 addrmode_imm12:$src),
5005              (LDRBi12 addrmode_imm12:$src)>;
5006 def : ARMPat<(atomic_load_16 addrmode3:$src),
5007              (LDRH addrmode3:$src)>;
5008 def : ARMPat<(atomic_load_32 ldst_so_reg:$src),
5009              (LDRrs ldst_so_reg:$src)>;
5010 def : ARMPat<(atomic_load_32 addrmode_imm12:$src),
5011              (LDRi12 addrmode_imm12:$src)>;
5012 def : ARMPat<(atomic_store_8 ldst_so_reg:$ptr, GPR:$val),
5013              (STRBrs GPR:$val, ldst_so_reg:$ptr)>;
5014 def : ARMPat<(atomic_store_8 addrmode_imm12:$ptr, GPR:$val),
5015              (STRBi12 GPR:$val, addrmode_imm12:$ptr)>;
5016 def : ARMPat<(atomic_store_16 addrmode3:$ptr, GPR:$val),
5017              (STRH GPR:$val, addrmode3:$ptr)>;
5018 def : ARMPat<(atomic_store_32 ldst_so_reg:$ptr, GPR:$val),
5019              (STRrs GPR:$val, ldst_so_reg:$ptr)>;
5020 def : ARMPat<(atomic_store_32 addrmode_imm12:$ptr, GPR:$val),
5021              (STRi12 GPR:$val, addrmode_imm12:$ptr)>;
5022
5023
5024 //===----------------------------------------------------------------------===//
5025 // Thumb Support
5026 //
5027
5028 include "ARMInstrThumb.td"
5029
5030 //===----------------------------------------------------------------------===//
5031 // Thumb2 Support
5032 //
5033
5034 include "ARMInstrThumb2.td"
5035
5036 //===----------------------------------------------------------------------===//
5037 // Floating Point Support
5038 //
5039
5040 include "ARMInstrVFP.td"
5041
5042 //===----------------------------------------------------------------------===//
5043 // Advanced SIMD (NEON) Support
5044 //
5045
5046 include "ARMInstrNEON.td"
5047
5048 //===----------------------------------------------------------------------===//
5049 // Assembler aliases
5050 //
5051
5052 // Memory barriers
5053 def : InstAlias<"dmb", (DMB 0xf)>, Requires<[IsARM, HasDB]>;
5054 def : InstAlias<"dsb", (DSB 0xf)>, Requires<[IsARM, HasDB]>;
5055 def : InstAlias<"isb", (ISB 0xf)>, Requires<[IsARM, HasDB]>;
5056
5057 // System instructions
5058 def : MnemonicAlias<"swi", "svc">;
5059
5060 // Load / Store Multiple
5061 def : MnemonicAlias<"ldmfd", "ldm">;
5062 def : MnemonicAlias<"ldmia", "ldm">;
5063 def : MnemonicAlias<"ldmea", "ldmdb">;
5064 def : MnemonicAlias<"stmfd", "stmdb">;
5065 def : MnemonicAlias<"stmia", "stm">;
5066 def : MnemonicAlias<"stmea", "stm">;
5067
5068 // PKHBT/PKHTB with default shift amount. PKHTB is equivalent to PKHBT when the
5069 // shift amount is zero (i.e., unspecified).
5070 def : InstAlias<"pkhbt${p} $Rd, $Rn, $Rm",
5071                 (PKHBT GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, 0, pred:$p)>,
5072         Requires<[IsARM, HasV6]>;
5073 def : InstAlias<"pkhtb${p} $Rd, $Rn, $Rm",
5074                 (PKHBT GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, 0, pred:$p)>,
5075         Requires<[IsARM, HasV6]>;
5076
5077 // PUSH/POP aliases for STM/LDM
5078 def : ARMInstAlias<"push${p} $regs", (STMDB_UPD SP, pred:$p, reglist:$regs)>;
5079 def : ARMInstAlias<"pop${p} $regs", (LDMIA_UPD SP, pred:$p, reglist:$regs)>;
5080
5081 // SSAT/USAT optional shift operand.
5082 def : ARMInstAlias<"ssat${p} $Rd, $sat_imm, $Rn",
5083                 (SSAT GPRnopc:$Rd, imm1_32:$sat_imm, GPRnopc:$Rn, 0, pred:$p)>;
5084 def : ARMInstAlias<"usat${p} $Rd, $sat_imm, $Rn",
5085                 (USAT GPRnopc:$Rd, imm0_31:$sat_imm, GPRnopc:$Rn, 0, pred:$p)>;
5086
5087
5088 // Extend instruction optional rotate operand.
5089 def : ARMInstAlias<"sxtab${p} $Rd, $Rn, $Rm",
5090                 (SXTAB GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5091 def : ARMInstAlias<"sxtah${p} $Rd, $Rn, $Rm",
5092                 (SXTAH GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5093 def : ARMInstAlias<"sxtab16${p} $Rd, $Rn, $Rm",
5094                 (SXTAB16 GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5095 def : ARMInstAlias<"sxtb${p} $Rd, $Rm",
5096                 (SXTB GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5097 def : ARMInstAlias<"sxtb16${p} $Rd, $Rm",
5098                 (SXTB16 GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5099 def : ARMInstAlias<"sxth${p} $Rd, $Rm",
5100                 (SXTH GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5101
5102 def : ARMInstAlias<"uxtab${p} $Rd, $Rn, $Rm",
5103                 (UXTAB GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5104 def : ARMInstAlias<"uxtah${p} $Rd, $Rn, $Rm",
5105                 (UXTAH GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5106 def : ARMInstAlias<"uxtab16${p} $Rd, $Rn, $Rm",
5107                 (UXTAB16 GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)>;
5108 def : ARMInstAlias<"uxtb${p} $Rd, $Rm",
5109                 (UXTB GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5110 def : ARMInstAlias<"uxtb16${p} $Rd, $Rm",
5111                 (UXTB16 GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5112 def : ARMInstAlias<"uxth${p} $Rd, $Rm",
5113                 (UXTH GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)>;
5114
5115
5116 // RFE aliases
5117 def : MnemonicAlias<"rfefa", "rfeda">;
5118 def : MnemonicAlias<"rfeea", "rfedb">;
5119 def : MnemonicAlias<"rfefd", "rfeia">;
5120 def : MnemonicAlias<"rfeed", "rfeib">;
5121 def : MnemonicAlias<"rfe", "rfeia">;
5122
5123 // SRS aliases
5124 def : MnemonicAlias<"srsfa", "srsda">;
5125 def : MnemonicAlias<"srsea", "srsdb">;
5126 def : MnemonicAlias<"srsfd", "srsia">;
5127 def : MnemonicAlias<"srsed", "srsib">;
5128 def : MnemonicAlias<"srs", "srsia">;
5129
5130 // QSAX == QSUBADDX
5131 def : MnemonicAlias<"qsubaddx", "qsax">;
5132 // SASX == SADDSUBX
5133 def : MnemonicAlias<"saddsubx", "sasx">;
5134 // SHASX == SHADDSUBX
5135 def : MnemonicAlias<"shaddsubx", "shasx">;
5136 // SHSAX == SHSUBADDX
5137 def : MnemonicAlias<"shsubaddx", "shsax">;
5138 // SSAX == SSUBADDX
5139 def : MnemonicAlias<"ssubaddx", "ssax">;
5140 // UASX == UADDSUBX
5141 def : MnemonicAlias<"uaddsubx", "uasx">;
5142 // UHASX == UHADDSUBX
5143 def : MnemonicAlias<"uhaddsubx", "uhasx">;
5144 // UHSAX == UHSUBADDX
5145 def : MnemonicAlias<"uhsubaddx", "uhsax">;
5146 // UQASX == UQADDSUBX
5147 def : MnemonicAlias<"uqaddsubx", "uqasx">;
5148 // UQSAX == UQSUBADDX
5149 def : MnemonicAlias<"uqsubaddx", "uqsax">;
5150 // USAX == USUBADDX
5151 def : MnemonicAlias<"usubaddx", "usax">;
5152
5153 // "mov Rd, so_imm_not" can be handled via "mvn" in assembly, just like
5154 // for isel.
5155 def : ARMInstAlias<"mov${s}${p} $Rd, $imm",
5156                    (MVNi rGPR:$Rd, so_imm_not:$imm, pred:$p, cc_out:$s)>;
5157 def : ARMInstAlias<"mvn${s}${p} $Rd, $imm",
5158                    (MOVi rGPR:$Rd, so_imm_not:$imm, pred:$p, cc_out:$s)>;
5159 // Same for AND <--> BIC
5160 def : ARMInstAlias<"bic${s}${p} $Rd, $Rn, $imm",
5161                    (ANDri rGPR:$Rd, rGPR:$Rn, so_imm_not:$imm,
5162                           pred:$p, cc_out:$s)>;
5163 def : ARMInstAlias<"bic${s}${p} $Rdn, $imm",
5164                    (ANDri rGPR:$Rdn, rGPR:$Rdn, so_imm_not:$imm,
5165                           pred:$p, cc_out:$s)>;
5166 def : ARMInstAlias<"and${s}${p} $Rd, $Rn, $imm",
5167                    (BICri rGPR:$Rd, rGPR:$Rn, so_imm_not:$imm,
5168                           pred:$p, cc_out:$s)>;
5169 def : ARMInstAlias<"and${s}${p} $Rdn, $imm",
5170                    (BICri rGPR:$Rdn, rGPR:$Rdn, so_imm_not:$imm,
5171                           pred:$p, cc_out:$s)>;
5172
5173 // Likewise, "add Rd, so_imm_neg" -> sub
5174 def : ARMInstAlias<"add${s}${p} $Rd, $Rn, $imm",
5175                  (SUBri GPR:$Rd, GPR:$Rn, so_imm_neg:$imm, pred:$p, cc_out:$s)>;
5176 def : ARMInstAlias<"add${s}${p} $Rd, $imm",
5177                  (SUBri GPR:$Rd, GPR:$Rd, so_imm_neg:$imm, pred:$p, cc_out:$s)>;
5178 // Same for CMP <--> CMN via so_imm_neg
5179 def : ARMInstAlias<"cmp${p} $Rd, $imm",
5180                    (CMNzri rGPR:$Rd, so_imm_neg:$imm, pred:$p)>;
5181 def : ARMInstAlias<"cmn${p} $Rd, $imm",
5182                    (CMPri rGPR:$Rd, so_imm_neg:$imm, pred:$p)>;
5183
5184 // The shifter forms of the MOV instruction are aliased to the ASR, LSL,
5185 // LSR, ROR, and RRX instructions.
5186 // FIXME: We need C++ parser hooks to map the alias to the MOV
5187 //        encoding. It seems we should be able to do that sort of thing
5188 //        in tblgen, but it could get ugly.
5189 def ASRi : ARMAsmPseudo<"asr${s}${p} $Rd, $Rm, $imm",
5190                         (ins GPR:$Rd, GPR:$Rm, imm0_32:$imm, pred:$p,
5191                              cc_out:$s)>;
5192 def LSRi : ARMAsmPseudo<"lsr${s}${p} $Rd, $Rm, $imm",
5193                         (ins GPR:$Rd, GPR:$Rm, imm0_32:$imm, pred:$p,
5194                              cc_out:$s)>;
5195 def LSLi : ARMAsmPseudo<"lsl${s}${p} $Rd, $Rm, $imm",
5196                         (ins GPR:$Rd, GPR:$Rm, imm0_31:$imm, pred:$p,
5197                              cc_out:$s)>;
5198 def RORi : ARMAsmPseudo<"ror${s}${p} $Rd, $Rm, $imm",
5199                         (ins GPR:$Rd, GPR:$Rm, imm0_31:$imm, pred:$p,
5200                              cc_out:$s)>;
5201 def RRXi : ARMAsmPseudo<"rrx${s}${p} $Rd, $Rm",
5202                         (ins GPRnopc:$Rd, GPRnopc:$Rm, pred:$p, cc_out:$s)>;
5203 def ASRr : ARMAsmPseudo<"asr${s}${p} $Rd, $Rn, $Rm",
5204                         (ins GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5205                              cc_out:$s)>;
5206 def LSRr : ARMAsmPseudo<"lsr${s}${p} $Rd, $Rn, $Rm",
5207                         (ins GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5208                              cc_out:$s)>;
5209 def LSLr : ARMAsmPseudo<"lsl${s}${p} $Rd, $Rn, $Rm",
5210                         (ins GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5211                              cc_out:$s)>;
5212 def RORr : ARMAsmPseudo<"ror${s}${p} $Rd, $Rn, $Rm",
5213                         (ins GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5214                              cc_out:$s)>;
5215 // shifter instructions also support a two-operand form.
5216 def : ARMInstAlias<"asr${s}${p} $Rm, $imm",
5217                    (ASRi GPR:$Rm, GPR:$Rm, imm0_32:$imm, pred:$p, cc_out:$s)>;
5218 def : ARMInstAlias<"lsr${s}${p} $Rm, $imm",
5219                    (LSRi GPR:$Rm, GPR:$Rm, imm0_32:$imm, pred:$p, cc_out:$s)>;
5220 def : ARMInstAlias<"lsl${s}${p} $Rm, $imm",
5221                    (LSLi GPR:$Rm, GPR:$Rm, imm0_31:$imm, pred:$p, cc_out:$s)>;
5222 def : ARMInstAlias<"ror${s}${p} $Rm, $imm",
5223                    (RORi GPR:$Rm, GPR:$Rm, imm0_31:$imm, pred:$p, cc_out:$s)>;
5224 def : ARMInstAlias<"asr${s}${p} $Rn, $Rm",
5225                    (ASRr GPRnopc:$Rn, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5226                          cc_out:$s)>;
5227 def : ARMInstAlias<"lsr${s}${p} $Rn, $Rm",
5228                    (LSRr GPRnopc:$Rn, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5229                          cc_out:$s)>;
5230 def : ARMInstAlias<"lsl${s}${p} $Rn, $Rm",
5231                    (LSLr GPRnopc:$Rn, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5232                          cc_out:$s)>;
5233 def : ARMInstAlias<"ror${s}${p} $Rn, $Rm",
5234                    (RORr GPRnopc:$Rn, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p,
5235                          cc_out:$s)>;
5236
5237
5238 // 'mul' instruction can be specified with only two operands.
5239 def : ARMInstAlias<"mul${s}${p} $Rn, $Rm",
5240                    (MUL rGPR:$Rn, rGPR:$Rm, rGPR:$Rn, pred:$p, cc_out:$s)>;
5241
5242 // "neg" is and alias for "rsb rd, rn, #0"
5243 def : ARMInstAlias<"neg${s}${p} $Rd, $Rm",
5244                    (RSBri GPR:$Rd, GPR:$Rm, 0, pred:$p, cc_out:$s)>;
5245
5246 // Pre-v6, 'mov r0, r0' was used as a NOP encoding.
5247 def : InstAlias<"nop${p}", (MOVr R0, R0, pred:$p, zero_reg)>,
5248          Requires<[IsARM, NoV6]>;
5249
5250 // UMULL/SMULL are available on all arches, but the instruction definitions
5251 // need difference constraints pre-v6. Use these aliases for the assembly
5252 // parsing on pre-v6.
5253 def : InstAlias<"smull${s}${p} $RdLo, $RdHi, $Rn, $Rm",
5254             (SMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
5255          Requires<[IsARM, NoV6]>;
5256 def : InstAlias<"umull${s}${p} $RdLo, $RdHi, $Rn, $Rm",
5257             (UMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)>,
5258          Requires<[IsARM, NoV6]>;
5259
5260 // 'it' blocks in ARM mode just validate the predicates. The IT itself
5261 // is discarded.
5262 def ITasm : ARMAsmPseudo<"it$mask $cc", (ins it_pred:$cc, it_mask:$mask)>;