[mips] Instruction selection patterns for DSP-ASE vector select and compare
authorAkira Hatanaka <ahatanaka@mips.com>
Tue, 30 Apr 2013 22:37:26 +0000 (22:37 +0000)
committerAkira Hatanaka <ahatanaka@mips.com>
Tue, 30 Apr 2013 22:37:26 +0000 (22:37 +0000)
commitcd6c57917db22a3913a2cdbadfa79fed3547bdec
tree3950548bc9bb33b74cf36a92dd0c1dffff7a88c1
parent86a87d9ba1faf153e0e6eaddfd3e95595c83bcb1
[mips] Instruction selection patterns for DSP-ASE vector select and compare
instructions.

git-svn-id: https://llvm.org/svn/llvm-project/llvm/trunk@180820 91177308-0d34-0410-b5e6-96231b3b80d8
lib/Target/Mips/MipsDSPInstrInfo.td
lib/Target/Mips/MipsISelLowering.cpp
lib/Target/Mips/MipsISelLowering.h
lib/Target/Mips/MipsRegisterInfo.td
lib/Target/Mips/MipsSEISelLowering.cpp
test/CodeGen/Mips/dsp-patterns-cmp-vselect.ll [new file with mode: 0644]