Removed signal_threaded uRCU
[libcds.git] / projects / Win / vc14 / cds.vcxproj.filters
index 189b8f4047639d47aed70f45f664a2e75fb50d5d..14c57dae9d086059f63eda156511878093d554bc 100644 (file)
     <ClInclude Include="..\..\..\cds\urcu\details\sig_buffered.h">\r
       <Filter>Header Files\cds\urcu\details</Filter>\r
     </ClInclude>\r
-    <ClInclude Include="..\..\..\cds\urcu\details\sig_threaded.h">\r
-      <Filter>Header Files\cds\urcu\details</Filter>\r
-    </ClInclude>\r
     <ClInclude Include="..\..\..\cds\urcu\dispose_thread.h">\r
       <Filter>Header Files\cds\urcu</Filter>\r
     </ClInclude>\r
     <ClInclude Include="..\..\..\cds\urcu\signal_buffered.h">\r
       <Filter>Header Files\cds\urcu</Filter>\r
     </ClInclude>\r
-    <ClInclude Include="..\..\..\cds\urcu\signal_threaded.h">\r
-      <Filter>Header Files\cds\urcu</Filter>\r
-    </ClInclude>\r
     <ClInclude Include="..\..\..\cds\intrusive\details\ellen_bintree_base.h">\r
       <Filter>Header Files\cds\intrusive\details</Filter>\r
     </ClInclude>\r