adding a test case master
authorYong hun eom <yeom@uci.edu>
Tue, 5 Aug 2014 01:17:50 +0000 (18:17 -0700)
committerYong hun eom <yeom@uci.edu>
Tue, 5 Aug 2014 01:17:50 +0000 (18:17 -0700)
commitcd472d1217daa2704c1b3276bd6e8d36164af786
tree45b36b17cc9e6ae4652c6291725c738fb96d2069
parentc143aba14e055136aeb02b0c85d6fa4b8e336a64
adding a test case
Robust/src/Tests/ssJava/memalloc/makefile [new file with mode: 0644]
Robust/src/Tests/ssJava/memalloc/test.java [new file with mode: 0644]