Merge branch 'irqchip/stacked-omap' into irqchip/core
authorJason Cooper <jason@lakedaemon.net>
Fri, 10 Apr 2015 22:57:56 +0000 (22:57 +0000)
committerJason Cooper <jason@lakedaemon.net>
Fri, 10 Apr 2015 22:57:56 +0000 (22:57 +0000)
26 files changed:
Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-cpucfg.txt [new file with mode: 0644]
Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-ir.txt [new file with mode: 0644]
Documentation/devicetree/bindings/interrupt-controller/nvidia,tegra-ictlr.txt [new file with mode: 0644]
Documentation/devicetree/bindings/interrupt-controller/renesas,irqc.txt
Documentation/devicetree/bindings/interrupt-controller/st,sti-irq-syscfg.txt [new file with mode: 0644]
arch/arm/boot/dts/tegra114.dtsi
arch/arm/boot/dts/tegra124.dtsi
arch/arm/boot/dts/tegra20.dtsi
arch/arm/boot/dts/tegra30.dtsi
arch/arm/mach-imx/Kconfig
arch/arm/mach-tegra/iomap.h
arch/arm/mach-tegra/irq.c
arch/arm/mach-tegra/irq.h
arch/arm/mach-tegra/tegra.c
drivers/irqchip/Kconfig
drivers/irqchip/Makefile
drivers/irqchip/irq-armada-370-xp.c
drivers/irqchip/irq-digicolor.c
drivers/irqchip/irq-gic.c
drivers/irqchip/irq-mips-gic.c
drivers/irqchip/irq-renesas-irqc.c
drivers/irqchip/irq-st.c [new file with mode: 0644]
drivers/irqchip/irq-tegra.c [new file with mode: 0644]
drivers/irqchip/irq-vf610-mscm-ir.c [new file with mode: 0644]
include/dt-bindings/interrupt-controller/irq-st.h [new file with mode: 0644]
include/linux/irqchip/mips-gic.h

diff --git a/Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-cpucfg.txt b/Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-cpucfg.txt
new file mode 100644 (file)
index 0000000..44aa3c4
--- /dev/null
@@ -0,0 +1,14 @@
+Freescale Vybrid Miscellaneous System Control - CPU Configuration
+
+The MSCM IP contains multiple sub modules, this binding describes the first
+block of registers which contains CPU configuration information.
+
+Required properties:
+- compatible:  "fsl,vf610-mscm-cpucfg", "syscon"
+- reg:         the register range of the MSCM CPU configuration registers
+
+Example:
+       mscm_cpucfg: cpucfg@40001000 {
+               compatible = "fsl,vf610-mscm-cpucfg", "syscon";
+               reg = <0x40001000 0x800>;
+       }
diff --git a/Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-ir.txt b/Documentation/devicetree/bindings/arm/freescale/fsl,vf610-mscm-ir.txt
new file mode 100644 (file)
index 0000000..669808b
--- /dev/null
@@ -0,0 +1,33 @@
+Freescale Vybrid Miscellaneous System Control - Interrupt Router
+
+The MSCM IP contains multiple sub modules, this binding describes the second
+block of registers which control the interrupt router. The interrupt router
+allows to configure the recipient of each peripheral interrupt. Furthermore
+it controls the directed processor interrupts. The module is available in all
+Vybrid SoC's but is only really useful in dual core configurations (VF6xx
+which comes with a Cortex-A5/Cortex-M4 combination).
+
+Required properties:
+- compatible:          "fsl,vf610-mscm-ir"
+- reg:                 the register range of the MSCM Interrupt Router
+- fsl,cpucfg:          The handle to the MSCM CPU configuration node, required
+                       to get the current CPU ID
+- interrupt-controller:        Identifies the node as an interrupt controller
+- #interrupt-cells:    Two cells, interrupt number and cells.
+                       The hardware interrupt number according to interrupt
+                       assignment of the interrupt router is required.
+                       Flags get passed only when using GIC as parent. Flags
+                       encoding as documented by the GIC bindings.
+- interrupt-parent:    Should be the phandle for the interrupt controller of
+                       the CPU the device tree is intended to be used on. This
+                       is either the node of the GIC or NVIC controller.
+
+Example:
+       mscm_ir: interrupt-controller@40001800 {
+               compatible = "fsl,vf610-mscm-ir";
+               reg = <0x40001800 0x400>;
+               fsl,cpucfg = <&mscm_cpucfg>;
+               interrupt-controller;
+               #interrupt-cells = <2>;
+               interrupt-parent = <&intc>;
+       }
diff --git a/Documentation/devicetree/bindings/interrupt-controller/nvidia,tegra-ictlr.txt b/Documentation/devicetree/bindings/interrupt-controller/nvidia,tegra-ictlr.txt
new file mode 100644 (file)
index 0000000..1099fe0
--- /dev/null
@@ -0,0 +1,43 @@
+NVIDIA Legacy Interrupt Controller
+
+All Tegra SoCs contain a legacy interrupt controller that routes
+interrupts to the GIC, and also serves as a wakeup source. It is also
+referred to as "ictlr", hence the name of the binding.
+
+The HW block exposes a number of interrupt controllers, each
+implementing a set of 32 interrupts.
+
+Required properties:
+
+- compatible : should be: "nvidia,tegra<chip>-ictlr". The LIC on
+  subsequent SoCs remained backwards-compatible with Tegra30, so on
+  Tegra generations later than Tegra30 the compatible value should
+  include "nvidia,tegra30-ictlr".      
+- reg : Specifies base physical address and size of the registers.
+  Each controller must be described separately (Tegra20 has 4 of them,
+  whereas Tegra30 and later have 5"  
+- interrupt-controller : Identifies the node as an interrupt controller.
+- #interrupt-cells : Specifies the number of cells needed to encode an
+  interrupt source. The value must be 3.
+- interrupt-parent : a phandle to the GIC these interrupts are routed
+  to.
+
+Notes:
+
+- Because this HW ultimately routes interrupts to the GIC, the
+  interrupt specifier must be that of the GIC.
+- Only SPIs can use the ictlr as an interrupt parent. SGIs and PPIs
+  are explicitly forbidden.
+
+Example:
+
+       ictlr: interrupt-controller@60004000 {
+               compatible = "nvidia,tegra20-ictlr", "nvidia,tegra-ictlr";
+               reg = <0x60004000 64>,
+                     <0x60004100 64>,
+                     <0x60004200 64>,
+                     <0x60004300 64>;
+               interrupt-controller;
+               #interrupt-cells = <3>;
+               interrupt-parent = <&intc>;
+       };
index 1a88e62228e59631c2c53ea4280d94b346b57d7d..63633bdea7e40ea84aca7954a2d671a5d9163ad8 100644 (file)
@@ -4,7 +4,7 @@ Required properties:
 
 - compatible: has to be "renesas,irqc-<soctype>", "renesas,irqc" as fallback.
   Examples with soctypes are:
-    - "renesas,irqc-r8a73a4" (R-Mobile AP6)
+    - "renesas,irqc-r8a73a4" (R-Mobile APE6)
     - "renesas,irqc-r8a7790" (R-Car H2)
     - "renesas,irqc-r8a7791" (R-Car M2-W)
     - "renesas,irqc-r8a7792" (R-Car V2H)
@@ -12,6 +12,7 @@ Required properties:
     - "renesas,irqc-r8a7794" (R-Car E2)
 - #interrupt-cells: has to be <2>: an interrupt index and flags, as defined in
   interrupts.txt in this directory
+- clocks: Must contain a reference to the functional clock.
 
 Optional properties:
 
@@ -29,4 +30,5 @@ Example:
                             <0 1 IRQ_TYPE_LEVEL_HIGH>,
                             <0 2 IRQ_TYPE_LEVEL_HIGH>,
                             <0 3 IRQ_TYPE_LEVEL_HIGH>;
+               clocks = <&mstp4_clks R8A7790_CLK_IRQC>;
        };
diff --git a/Documentation/devicetree/bindings/interrupt-controller/st,sti-irq-syscfg.txt b/Documentation/devicetree/bindings/interrupt-controller/st,sti-irq-syscfg.txt
new file mode 100644 (file)
index 0000000..ced6014
--- /dev/null
@@ -0,0 +1,35 @@
+STMicroelectronics STi System Configuration Controlled IRQs
+-----------------------------------------------------------
+
+On STi based systems; External, CTI (Core Sight), PMU (Performance Management),
+and PL310 L2 Cache IRQs are controlled using System Configuration registers.
+This driver is used to unmask them prior to use.
+
+Required properties:
+- compatible   : Should be set to one of:
+                       "st,stih415-irq-syscfg"
+                       "st,stih416-irq-syscfg"
+                       "st,stih407-irq-syscfg"
+                       "st,stid127-irq-syscfg"
+- st,syscfg    : Phandle to Cortex-A9 IRQ system config registers
+- st,irq-device        : Array of IRQs to enable - should be 2 in length
+- st,fiq-device        : Array of FIQs to enable - should be 2 in length
+
+Optional properties:
+- st,invert-ext        : External IRQs can be inverted at will.  This property inverts
+                 these IRQs using bitwise logic.  A number of defines have been
+                 provided for convenience:
+                       ST_IRQ_SYSCFG_EXT_1_INV
+                       ST_IRQ_SYSCFG_EXT_2_INV
+                       ST_IRQ_SYSCFG_EXT_3_INV
+Example:
+
+irq-syscfg {
+       compatible    = "st,stih416-irq-syscfg";
+       st,syscfg     = <&syscfg_cpu>;
+       st,irq-device = <ST_IRQ_SYSCFG_PMU_0>,
+                       <ST_IRQ_SYSCFG_PMU_1>;
+       st,fiq-device = <ST_IRQ_SYSCFG_DISABLED>,
+                       <ST_IRQ_SYSCFG_DISABLED>;
+       st,invert-ext = <(ST_IRQ_SYSCFG_EXT_1_INV | ST_IRQ_SYSCFG_EXT_3_INV)>;
+};
index 4296b5398bf53ed7580208bc4165c569945c65f2..f58a3d9d5f1394760c4f931b27c5f31d2018f991 100644 (file)
@@ -8,7 +8,7 @@
 
 / {
        compatible = "nvidia,tegra114";
-       interrupt-parent = <&gic>;
+       interrupt-parent = <&lic>;
 
        host1x@50000000 {
                compatible = "nvidia,tegra114-host1x", "simple-bus";
                      <0x50046000 0x2000>;
                interrupts = <GIC_PPI 9
                        (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_HIGH)>;
+               interrupt-parent = <&gic>;
+       };
+
+       lic: interrupt-controller@60004000 {
+               compatible = "nvidia,tegra114-ictlr", "nvidia,tegra30-ictlr";
+               reg = <0x60004000 0x100>,
+                     <0x60004100 0x50>,
+                     <0x60004200 0x50>,
+                     <0x60004300 0x50>,
+                     <0x60004400 0x50>;
+               interrupt-controller;
+               #interrupt-cells = <3>;
+               interrupt-parent = <&gic>;
        };
 
        timer@60005000 {
                                (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>,
                        <GIC_PPI 10
                                (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>;
+               interrupt-parent = <&gic>;
        };
 };
index 4be06c6ea0c8581dd71dad22af2ef45a8dc0984b..db85695aa7aa715713e0fa387e92de887565e233 100644 (file)
@@ -10,7 +10,7 @@
 
 / {
        compatible = "nvidia,tegra124";
-       interrupt-parent = <&gic>;
+       interrupt-parent = <&lic>;
        #address-cells = <2>;
        #size-cells = <2>;
 
                      <0x0 0x50046000 0x0 0x2000>;
                interrupts = <GIC_PPI 9
                        (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_HIGH)>;
+               interrupt-parent = <&gic>;
        };
 
        gpu@0,57000000 {
                status = "disabled";
        };
 
+       lic: interrupt-controller@60004000 {
+               compatible = "nvidia,tegra124-ictlr", "nvidia,tegra30-ictlr";
+               reg = <0x0 0x60004000 0x0 0x100>,
+                     <0x0 0x60004100 0x0 0x100>,
+                     <0x0 0x60004200 0x0 0x100>,
+                     <0x0 0x60004300 0x0 0x100>,
+                     <0x0 0x60004400 0x0 0x100>;
+               interrupt-controller;
+               #interrupt-cells = <3>;
+               interrupt-parent = <&gic>;
+       };
+
        timer@0,60005000 {
                compatible = "nvidia,tegra124-timer", "nvidia,tegra20-timer";
                reg = <0x0 0x60005000 0x0 0x400>;
                                (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>,
                             <GIC_PPI 10
                                (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>;
+               interrupt-parent = <&gic>;
        };
 };
index e5527f74269666cd96f38b4ec6c335639e7b9314..adf6b048d0bb52b5355f26eb06f79212a2e34cde 100644 (file)
@@ -7,7 +7,7 @@
 
 / {
        compatible = "nvidia,tegra20";
-       interrupt-parent = <&intc>;
+       interrupt-parent = <&lic>;
 
        host1x@50000000 {
                compatible = "nvidia,tegra20-host1x", "simple-bus";
 
        timer@50040600 {
                compatible = "arm,cortex-a9-twd-timer";
+               interrupt-parent = <&intc>;
                reg = <0x50040600 0x20>;
                interrupts = <GIC_PPI 13
                        (GIC_CPU_MASK_SIMPLE(2) | IRQ_TYPE_LEVEL_HIGH)>;
                       0x50040100 0x0100>;
                interrupt-controller;
                #interrupt-cells = <3>;
+               interrupt-parent = <&intc>;
        };
 
        cache-controller@50043000 {
                cache-level = <2>;
        };
 
+       lic: interrupt-controller@60004000 {
+               compatible = "nvidia,tegra20-ictlr";
+               reg = <0x60004000 0x100>,
+                     <0x60004100 0x50>,
+                     <0x60004200 0x50>,
+                     <0x60004300 0x50>;
+               interrupt-controller;
+               #interrupt-cells = <3>;
+               interrupt-parent = <&intc>;
+       };
+
        timer@60005000 {
                compatible = "nvidia,tegra20-timer";
                reg = <0x60005000 0x60>;
index db4810df142c39b62655d674229325c7a577b194..60e205a0f63d99640798938fcf06c55bf86980b1 100644 (file)
@@ -8,7 +8,7 @@
 
 / {
        compatible = "nvidia,tegra30";
-       interrupt-parent = <&intc>;
+       interrupt-parent = <&lic>;
 
        pcie-controller@00003000 {
                compatible = "nvidia,tegra30-pcie";
        timer@50040600 {
                compatible = "arm,cortex-a9-twd-timer";
                reg = <0x50040600 0x20>;
+               interrupt-parent = <&intc>;
                interrupts = <GIC_PPI 13
                        (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_HIGH)>;
                clocks = <&tegra_car TEGRA30_CLK_TWD>;
                       0x50040100 0x0100>;
                interrupt-controller;
                #interrupt-cells = <3>;
+               interrupt-parent = <&intc>;
        };
 
        cache-controller@50043000 {
                cache-level = <2>;
        };
 
+       lic: interrupt-controller@60004000 {
+               compatible = "nvidia,tegra30-ictlr";
+               reg = <0x60004000 0x100>,
+                     <0x60004100 0x50>,
+                     <0x60004200 0x50>,
+                     <0x60004300 0x50>,
+                     <0x60004400 0x50>;
+               interrupt-controller;
+               #interrupt-cells = <3>;
+               interrupt-parent = <&intc>;
+       };
+
        timer@60005000 {
                compatible = "nvidia,tegra30-timer", "nvidia,tegra20-timer";
                reg = <0x60005000 0x400>;
index e8627e04e1e669e6485d8eb71e142c2acda25fea..c8dffcee97363eec0cb898f73973088496a3b0c6 100644 (file)
@@ -631,6 +631,7 @@ config SOC_IMX6SX
 
 config SOC_VF610
        bool "Vybrid Family VF610 support"
+       select IRQ_DOMAIN_HIERARCHY
        select ARM_GIC
        select PINCTRL_VF610
        select PL310_ERRATA_769419 if CACHE_L2X0
index ee79808e93a3f2edf91e43f2f6c069917cf1f4dd..81dc950b4881c072409341a49279b0ade87f3fa7 100644 (file)
 #define TEGRA_ARM_INT_DIST_BASE                0x50041000
 #define TEGRA_ARM_INT_DIST_SIZE                SZ_4K
 
-#define TEGRA_PRIMARY_ICTLR_BASE       0x60004000
-#define TEGRA_PRIMARY_ICTLR_SIZE       SZ_64
-
-#define TEGRA_SECONDARY_ICTLR_BASE     0x60004100
-#define TEGRA_SECONDARY_ICTLR_SIZE     SZ_64
-
-#define TEGRA_TERTIARY_ICTLR_BASE      0x60004200
-#define TEGRA_TERTIARY_ICTLR_SIZE      SZ_64
-
-#define TEGRA_QUATERNARY_ICTLR_BASE    0x60004300
-#define TEGRA_QUATERNARY_ICTLR_SIZE    SZ_64
-
-#define TEGRA_QUINARY_ICTLR_BASE       0x60004400
-#define TEGRA_QUINARY_ICTLR_SIZE       SZ_64
-
 #define TEGRA_TMR1_BASE                        0x60005000
 #define TEGRA_TMR1_SIZE                        SZ_8
 
index ab95f5391a2b631e5cace17bbb176766e7d410bf..3b9098d27ea57146771ba55e8d5a8d823b7f5227 100644 (file)
 #include "board.h"
 #include "iomap.h"
 
-#define ICTLR_CPU_IEP_VFIQ     0x08
-#define ICTLR_CPU_IEP_FIR      0x14
-#define ICTLR_CPU_IEP_FIR_SET  0x18
-#define ICTLR_CPU_IEP_FIR_CLR  0x1c
-
-#define ICTLR_CPU_IER          0x20
-#define ICTLR_CPU_IER_SET      0x24
-#define ICTLR_CPU_IER_CLR      0x28
-#define ICTLR_CPU_IEP_CLASS    0x2C
-
-#define ICTLR_COP_IER          0x30
-#define ICTLR_COP_IER_SET      0x34
-#define ICTLR_COP_IER_CLR      0x38
-#define ICTLR_COP_IEP_CLASS    0x3c
-
-#define FIRST_LEGACY_IRQ 32
-#define TEGRA_MAX_NUM_ICTLRS   5
-
 #define SGI_MASK 0xFFFF
 
-static int num_ictlrs;
-
-static void __iomem *ictlr_reg_base[] = {
-       IO_ADDRESS(TEGRA_PRIMARY_ICTLR_BASE),
-       IO_ADDRESS(TEGRA_SECONDARY_ICTLR_BASE),
-       IO_ADDRESS(TEGRA_TERTIARY_ICTLR_BASE),
-       IO_ADDRESS(TEGRA_QUATERNARY_ICTLR_BASE),
-       IO_ADDRESS(TEGRA_QUINARY_ICTLR_BASE),
-};
-
 #ifdef CONFIG_PM_SLEEP
-static u32 cop_ier[TEGRA_MAX_NUM_ICTLRS];
-static u32 cop_iep[TEGRA_MAX_NUM_ICTLRS];
-static u32 cpu_ier[TEGRA_MAX_NUM_ICTLRS];
-static u32 cpu_iep[TEGRA_MAX_NUM_ICTLRS];
-
-static u32 ictlr_wake_mask[TEGRA_MAX_NUM_ICTLRS];
 static void __iomem *tegra_gic_cpu_base;
 #endif
 
@@ -83,140 +49,7 @@ bool tegra_pending_sgi(void)
        return false;
 }
 
-static inline void tegra_irq_write_mask(unsigned int irq, unsigned long reg)
-{
-       void __iomem *base;
-       u32 mask;
-
-       BUG_ON(irq < FIRST_LEGACY_IRQ ||
-               irq >= FIRST_LEGACY_IRQ + num_ictlrs * 32);
-
-       base = ictlr_reg_base[(irq - FIRST_LEGACY_IRQ) / 32];
-       mask = BIT((irq - FIRST_LEGACY_IRQ) % 32);
-
-       __raw_writel(mask, base + reg);
-}
-
-static void tegra_mask(struct irq_data *d)
-{
-       if (d->hwirq < FIRST_LEGACY_IRQ)
-               return;
-
-       tegra_irq_write_mask(d->hwirq, ICTLR_CPU_IER_CLR);
-}
-
-static void tegra_unmask(struct irq_data *d)
-{
-       if (d->hwirq < FIRST_LEGACY_IRQ)
-               return;
-
-       tegra_irq_write_mask(d->hwirq, ICTLR_CPU_IER_SET);
-}
-
-static void tegra_ack(struct irq_data *d)
-{
-       if (d->hwirq < FIRST_LEGACY_IRQ)
-               return;
-
-       tegra_irq_write_mask(d->hwirq, ICTLR_CPU_IEP_FIR_CLR);
-}
-
-static void tegra_eoi(struct irq_data *d)
-{
-       if (d->hwirq < FIRST_LEGACY_IRQ)
-               return;
-
-       tegra_irq_write_mask(d->hwirq, ICTLR_CPU_IEP_FIR_CLR);
-}
-
-static int tegra_retrigger(struct irq_data *d)
-{
-       if (d->hwirq < FIRST_LEGACY_IRQ)
-               return 0;
-
-       tegra_irq_write_mask(d->hwirq, ICTLR_CPU_IEP_FIR_SET);
-
-       return 1;
-}
-
 #ifdef CONFIG_PM_SLEEP
-static int tegra_set_wake(struct irq_data *d, unsigned int enable)
-{
-       u32 irq = d->hwirq;
-       u32 index, mask;
-
-       if (irq < FIRST_LEGACY_IRQ ||
-               irq >= FIRST_LEGACY_IRQ + num_ictlrs * 32)
-               return -EINVAL;
-
-       index = ((irq - FIRST_LEGACY_IRQ) / 32);
-       mask = BIT((irq - FIRST_LEGACY_IRQ) % 32);
-       if (enable)
-               ictlr_wake_mask[index] |= mask;
-       else
-               ictlr_wake_mask[index] &= ~mask;
-
-       return 0;
-}
-
-static int tegra_legacy_irq_suspend(void)
-{
-       unsigned long flags;
-       int i;
-
-       local_irq_save(flags);
-       for (i = 0; i < num_ictlrs; i++) {
-               void __iomem *ictlr = ictlr_reg_base[i];
-               /* Save interrupt state */
-               cpu_ier[i] = readl_relaxed(ictlr + ICTLR_CPU_IER);
-               cpu_iep[i] = readl_relaxed(ictlr + ICTLR_CPU_IEP_CLASS);
-               cop_ier[i] = readl_relaxed(ictlr + ICTLR_COP_IER);
-               cop_iep[i] = readl_relaxed(ictlr + ICTLR_COP_IEP_CLASS);
-
-               /* Disable COP interrupts */
-               writel_relaxed(~0ul, ictlr + ICTLR_COP_IER_CLR);
-
-               /* Disable CPU interrupts */
-               writel_relaxed(~0ul, ictlr + ICTLR_CPU_IER_CLR);
-
-               /* Enable the wakeup sources of ictlr */
-               writel_relaxed(ictlr_wake_mask[i], ictlr + ICTLR_CPU_IER_SET);
-       }
-       local_irq_restore(flags);
-
-       return 0;
-}
-
-static void tegra_legacy_irq_resume(void)
-{
-       unsigned long flags;
-       int i;
-
-       local_irq_save(flags);
-       for (i = 0; i < num_ictlrs; i++) {
-               void __iomem *ictlr = ictlr_reg_base[i];
-               writel_relaxed(cpu_iep[i], ictlr + ICTLR_CPU_IEP_CLASS);
-               writel_relaxed(~0ul, ictlr + ICTLR_CPU_IER_CLR);
-               writel_relaxed(cpu_ier[i], ictlr + ICTLR_CPU_IER_SET);
-               writel_relaxed(cop_iep[i], ictlr + ICTLR_COP_IEP_CLASS);
-               writel_relaxed(~0ul, ictlr + ICTLR_COP_IER_CLR);
-               writel_relaxed(cop_ier[i], ictlr + ICTLR_COP_IER_SET);
-       }
-       local_irq_restore(flags);
-}
-
-static struct syscore_ops tegra_legacy_irq_syscore_ops = {
-       .suspend = tegra_legacy_irq_suspend,
-       .resume = tegra_legacy_irq_resume,
-};
-
-int tegra_legacy_irq_syscore_init(void)
-{
-       register_syscore_ops(&tegra_legacy_irq_syscore_ops);
-
-       return 0;
-}
-
 static int tegra_gic_notifier(struct notifier_block *self,
                              unsigned long cmd, void *v)
 {
@@ -251,45 +84,19 @@ static void tegra114_gic_cpu_pm_registration(void)
        cpu_pm_register_notifier(&tegra_gic_notifier_block);
 }
 #else
-#define tegra_set_wake NULL
 static void tegra114_gic_cpu_pm_registration(void) { }
 #endif
 
+static const struct of_device_id tegra_ictlr_match[] __initconst = {
+       { .compatible = "nvidia,tegra20-ictlr" },
+       { .compatible = "nvidia,tegra30-ictlr" },
+       { }
+};
+
 void __init tegra_init_irq(void)
 {
-       int i;
-       void __iomem *distbase;
-
-       distbase = IO_ADDRESS(TEGRA_ARM_INT_DIST_BASE);
-       num_ictlrs = readl_relaxed(distbase + GIC_DIST_CTR) & 0x1f;
-
-       if (num_ictlrs > ARRAY_SIZE(ictlr_reg_base)) {
-               WARN(1, "Too many (%d) interrupt controllers found. Maximum is %d.",
-                       num_ictlrs, ARRAY_SIZE(ictlr_reg_base));
-               num_ictlrs = ARRAY_SIZE(ictlr_reg_base);
-       }
-
-       for (i = 0; i < num_ictlrs; i++) {
-               void __iomem *ictlr = ictlr_reg_base[i];
-               writel(~0, ictlr + ICTLR_CPU_IER_CLR);
-               writel(0, ictlr + ICTLR_CPU_IEP_CLASS);
-       }
-
-       gic_arch_extn.irq_ack = tegra_ack;
-       gic_arch_extn.irq_eoi = tegra_eoi;
-       gic_arch_extn.irq_mask = tegra_mask;
-       gic_arch_extn.irq_unmask = tegra_unmask;
-       gic_arch_extn.irq_retrigger = tegra_retrigger;
-       gic_arch_extn.irq_set_wake = tegra_set_wake;
-       gic_arch_extn.flags = IRQCHIP_MASK_ON_SUSPEND;
-
-       /*
-        * Check if there is a devicetree present, since the GIC will be
-        * initialized elsewhere under DT.
-        */
-       if (!of_have_populated_dt())
-               gic_init(0, 29, distbase,
-                       IO_ADDRESS(TEGRA_ARM_PERIF_BASE + 0x100));
+       if (WARN_ON(!of_find_matching_node(NULL, tegra_ictlr_match)))
+               pr_warn("Outdated DT detected, suspend/resume will NOT work\n");
 
        tegra114_gic_cpu_pm_registration();
 }
index bc05ce5613fbf79195159f8eef03324992a5c73d..5142649bba05513b46ead7a336b3082141ac41da 100644 (file)
 
 bool tegra_pending_sgi(void);
 
-#ifdef CONFIG_PM_SLEEP
-int tegra_legacy_irq_syscore_init(void);
-#else
-static inline int tegra_legacy_irq_syscore_init(void) { return 0; }
-#endif
-
 #endif
index 914341bcef25faf08631113ce98b90228d728d9a..861d88486dbec233d4ab52a42af4f666ab48ba7c 100644 (file)
@@ -82,7 +82,6 @@ static void __init tegra_dt_init_irq(void)
 {
        tegra_init_irq();
        irqchip_init();
-       tegra_legacy_irq_syscore_init();
 }
 
 static void __init tegra_dt_init(void)
index cc79d2a5a8c212999ccc71da19f019ea5bf30210..c8d260e33a90d340cdb0a98d23eb1d289ba86160 100644 (file)
@@ -110,6 +110,13 @@ config RENESAS_IRQC
        bool
        select IRQ_DOMAIN
 
+config ST_IRQCHIP
+       bool
+       select REGMAP
+       select MFD_SYSCON
+       help
+         Enables SysCfg Controlled IRQs on STi based platforms.
+
 config TB10X_IRQC
        bool
        select IRQ_DOMAIN
index 42965d2476bbb03cb7d7caf66980b6caa360a16c..552a740276015179dd170e65d82f2f4983a72ddb 100644 (file)
@@ -6,6 +6,7 @@ obj-$(CONFIG_ARCH_HIP04)                += irq-hip04.o
 obj-$(CONFIG_ARCH_MMP)                 += irq-mmp.o
 obj-$(CONFIG_ARCH_MVEBU)               += irq-armada-370-xp.o
 obj-$(CONFIG_ARCH_MXS)                 += irq-mxs.o
+obj-$(CONFIG_ARCH_TEGRA)               += irq-tegra.o
 obj-$(CONFIG_ARCH_S3C24XX)             += irq-s3c24xx.o
 obj-$(CONFIG_DW_APB_ICTL)              += irq-dw-apb-ictl.o
 obj-$(CONFIG_METAG)                    += irq-metag-ext.o
@@ -33,10 +34,12 @@ obj-$(CONFIG_RENESAS_IRQC)          += irq-renesas-irqc.o
 obj-$(CONFIG_VERSATILE_FPGA_IRQ)       += irq-versatile-fpga.o
 obj-$(CONFIG_ARCH_NSPIRE)              += irq-zevio.o
 obj-$(CONFIG_ARCH_VT8500)              += irq-vt8500.o
+obj-$(CONFIG_ST_IRQCHIP)               += irq-st.o
 obj-$(CONFIG_TB10X_IRQC)               += irq-tb10x.o
 obj-$(CONFIG_XTENSA)                   += irq-xtensa-pic.o
 obj-$(CONFIG_XTENSA_MX)                        += irq-xtensa-mx.o
 obj-$(CONFIG_IRQ_CROSSBAR)             += irq-crossbar.o
+obj-$(CONFIG_SOC_VF610)                        += irq-vf610-mscm-ir.o
 obj-$(CONFIG_BCM7120_L2_IRQ)           += irq-bcm7120-l2.o
 obj-$(CONFIG_BRCMSTB_L2_IRQ)           += irq-brcmstb-l2.o
 obj-$(CONFIG_KEYSTONE_IRQ)             += irq-keystone.o
index 463c235acbdcdc1758329205e3c79e380b5fa7a6..daccc8bdbb423fd5e6b700b4ffe6b57be0af30a1 100644 (file)
@@ -38,6 +38,8 @@
 /* Interrupt Controller Registers Map */
 #define ARMADA_370_XP_INT_SET_MASK_OFFS                (0x48)
 #define ARMADA_370_XP_INT_CLEAR_MASK_OFFS      (0x4C)
+#define ARMADA_370_XP_INT_FABRIC_MASK_OFFS     (0x54)
+#define ARMADA_370_XP_INT_CAUSE_PERF(cpu)      (1 << cpu)
 
 #define ARMADA_370_XP_INT_CONTROL              (0x00)
 #define ARMADA_370_XP_INT_SET_ENABLE_OFFS      (0x30)
@@ -56,6 +58,7 @@
 #define ARMADA_370_XP_MAX_PER_CPU_IRQS         (28)
 
 #define ARMADA_370_XP_TIMER0_PER_CPU_IRQ       (5)
+#define ARMADA_370_XP_FABRIC_IRQ               (3)
 
 #define IPI_DOORBELL_START                      (0)
 #define IPI_DOORBELL_END                        (8)
@@ -69,6 +72,7 @@ static void __iomem *per_cpu_int_base;
 static void __iomem *main_int_base;
 static struct irq_domain *armada_370_xp_mpic_domain;
 static u32 doorbell_mask_reg;
+static int parent_irq;
 #ifdef CONFIG_PCI_MSI
 static struct irq_domain *armada_370_xp_msi_domain;
 static DECLARE_BITMAP(msi_used, PCI_MSI_DOORBELL_NR);
@@ -76,6 +80,17 @@ static DEFINE_MUTEX(msi_used_lock);
 static phys_addr_t msi_doorbell_addr;
 #endif
 
+static inline bool is_percpu_irq(irq_hw_number_t irq)
+{
+       switch (irq) {
+       case ARMADA_370_XP_TIMER0_PER_CPU_IRQ:
+       case ARMADA_370_XP_FABRIC_IRQ:
+               return true;
+       default:
+               return false;
+       }
+}
+
 /*
  * In SMP mode:
  * For shared global interrupts, mask/unmask global enable bit
@@ -85,7 +100,7 @@ static void armada_370_xp_irq_mask(struct irq_data *d)
 {
        irq_hw_number_t hwirq = irqd_to_hwirq(d);
 
-       if (hwirq != ARMADA_370_XP_TIMER0_PER_CPU_IRQ)
+       if (!is_percpu_irq(hwirq))
                writel(hwirq, main_int_base +
                                ARMADA_370_XP_INT_CLEAR_ENABLE_OFFS);
        else
@@ -97,7 +112,7 @@ static void armada_370_xp_irq_unmask(struct irq_data *d)
 {
        irq_hw_number_t hwirq = irqd_to_hwirq(d);
 
-       if (hwirq != ARMADA_370_XP_TIMER0_PER_CPU_IRQ)
+       if (!is_percpu_irq(hwirq))
                writel(hwirq, main_int_base +
                                ARMADA_370_XP_INT_SET_ENABLE_OFFS);
        else
@@ -280,20 +295,21 @@ static struct irq_chip armada_370_xp_irq_chip = {
 #ifdef CONFIG_SMP
        .irq_set_affinity = armada_xp_set_affinity,
 #endif
+       .flags          = IRQCHIP_SKIP_SET_WAKE | IRQCHIP_MASK_ON_SUSPEND,
 };
 
 static int armada_370_xp_mpic_irq_map(struct irq_domain *h,
                                      unsigned int virq, irq_hw_number_t hw)
 {
        armada_370_xp_irq_mask(irq_get_irq_data(virq));
-       if (hw != ARMADA_370_XP_TIMER0_PER_CPU_IRQ)
+       if (!is_percpu_irq(hw))
                writel(hw, per_cpu_int_base +
                        ARMADA_370_XP_INT_CLEAR_MASK_OFFS);
        else
                writel(hw, main_int_base + ARMADA_370_XP_INT_SET_ENABLE_OFFS);
        irq_set_status_flags(virq, IRQ_LEVEL);
 
-       if (hw == ARMADA_370_XP_TIMER0_PER_CPU_IRQ) {
+       if (is_percpu_irq(hw)) {
                irq_set_percpu_devid(virq);
                irq_set_chip_and_handler(virq, &armada_370_xp_irq_chip,
                                        handle_percpu_devid_irq);
@@ -307,28 +323,6 @@ static int armada_370_xp_mpic_irq_map(struct irq_domain *h,
        return 0;
 }
 
-#ifdef CONFIG_SMP
-static void armada_mpic_send_doorbell(const struct cpumask *mask,
-                                     unsigned int irq)
-{
-       int cpu;
-       unsigned long map = 0;
-
-       /* Convert our logical CPU mask into a physical one. */
-       for_each_cpu(cpu, mask)
-               map |= 1 << cpu_logical_map(cpu);
-
-       /*
-        * Ensure that stores to Normal memory are visible to the
-        * other CPUs before issuing the IPI.
-        */
-       dsb();
-
-       /* submit softirq */
-       writel((map << 8) | irq, main_int_base +
-               ARMADA_370_XP_SW_TRIG_INT_OFFS);
-}
-
 static void armada_xp_mpic_smp_cpu_init(void)
 {
        u32 control;
@@ -351,11 +345,45 @@ static void armada_xp_mpic_smp_cpu_init(void)
        writel(0, per_cpu_int_base + ARMADA_370_XP_INT_CLEAR_MASK_OFFS);
 }
 
+static void armada_xp_mpic_perf_init(void)
+{
+       unsigned long cpuid = cpu_logical_map(smp_processor_id());
+
+       /* Enable Performance Counter Overflow interrupts */
+       writel(ARMADA_370_XP_INT_CAUSE_PERF(cpuid),
+              per_cpu_int_base + ARMADA_370_XP_INT_FABRIC_MASK_OFFS);
+}
+
+#ifdef CONFIG_SMP
+static void armada_mpic_send_doorbell(const struct cpumask *mask,
+                                     unsigned int irq)
+{
+       int cpu;
+       unsigned long map = 0;
+
+       /* Convert our logical CPU mask into a physical one. */
+       for_each_cpu(cpu, mask)
+               map |= 1 << cpu_logical_map(cpu);
+
+       /*
+        * Ensure that stores to Normal memory are visible to the
+        * other CPUs before issuing the IPI.
+        */
+       dsb();
+
+       /* submit softirq */
+       writel((map << 8) | irq, main_int_base +
+               ARMADA_370_XP_SW_TRIG_INT_OFFS);
+}
+
 static int armada_xp_mpic_secondary_init(struct notifier_block *nfb,
                                         unsigned long action, void *hcpu)
 {
-       if (action == CPU_STARTING || action == CPU_STARTING_FROZEN)
+       if (action == CPU_STARTING || action == CPU_STARTING_FROZEN) {
+               armada_xp_mpic_perf_init();
                armada_xp_mpic_smp_cpu_init();
+       }
+
        return NOTIFY_OK;
 }
 
@@ -364,6 +392,21 @@ static struct notifier_block armada_370_xp_mpic_cpu_notifier = {
        .priority = 100,
 };
 
+static int mpic_cascaded_secondary_init(struct notifier_block *nfb,
+                                       unsigned long action, void *hcpu)
+{
+       if (action == CPU_STARTING || action == CPU_STARTING_FROZEN) {
+               armada_xp_mpic_perf_init();
+               enable_percpu_irq(parent_irq, IRQ_TYPE_NONE);
+       }
+
+       return NOTIFY_OK;
+}
+
+static struct notifier_block mpic_cascaded_cpu_notifier = {
+       .notifier_call = mpic_cascaded_secondary_init,
+       .priority = 100,
+};
 #endif /* CONFIG_SMP */
 
 static struct irq_domain_ops armada_370_xp_mpic_irq_ops = {
@@ -539,7 +582,7 @@ static int __init armada_370_xp_mpic_of_init(struct device_node *node,
                                             struct device_node *parent)
 {
        struct resource main_int_res, per_cpu_int_res;
-       int parent_irq, nr_irqs, i;
+       int nr_irqs, i;
        u32 control;
 
        BUG_ON(of_address_to_resource(node, 0, &main_int_res));
@@ -572,9 +615,9 @@ static int __init armada_370_xp_mpic_of_init(struct device_node *node,
 
        BUG_ON(!armada_370_xp_mpic_domain);
 
-#ifdef CONFIG_SMP
+       /* Setup for the boot CPU */
+       armada_xp_mpic_perf_init();
        armada_xp_mpic_smp_cpu_init();
-#endif
 
        armada_370_xp_msi_init(node, main_int_res.start);
 
@@ -587,6 +630,9 @@ static int __init armada_370_xp_mpic_of_init(struct device_node *node,
                register_cpu_notifier(&armada_370_xp_mpic_cpu_notifier);
 #endif
        } else {
+#ifdef CONFIG_SMP
+               register_cpu_notifier(&mpic_cascaded_cpu_notifier);
+#endif
                irq_set_chained_handler(parent_irq,
                                        armada_370_xp_mpic_handle_cascade_irq);
        }
index 930a2a2fac7ffd5182e36780fd3b1e929fd3651f..3cbc658afe27a4f7d28875373a1816afad86ac8b 100644 (file)
@@ -55,8 +55,8 @@ static void __exception_irq_entry digicolor_handle_irq(struct pt_regs *regs)
        } while (1);
 }
 
-static void digicolor_set_gc(void __iomem *reg_base, unsigned irq_base,
-                            unsigned en_reg, unsigned ack_reg)
+static void __init digicolor_set_gc(void __iomem *reg_base, unsigned irq_base,
+                                   unsigned en_reg, unsigned ack_reg)
 {
        struct irq_chip_generic *gc;
 
index e3ca6da4314ed55b712d16bfa6219f9015d808c3..868983c6aa5eccdac0027da805107703cbae7d64 100644 (file)
@@ -349,7 +349,7 @@ static u8 gic_get_cpumask(struct gic_chip_data *gic)
                        break;
        }
 
-       if (!mask)
+       if (!mask && num_possible_cpus() > 1)
                pr_crit("GIC CPU mask not found - kernel will fail to boot.\n");
 
        return mask;
index 9acdc080e7ecd21b2cd256c18e31c9c27337c7d1..f2d269bca78989850483666ba81ecbaa096f973a 100644 (file)
@@ -166,6 +166,27 @@ cycle_t gic_read_compare(void)
 
        return (((cycle_t) hi) << 32) + lo;
 }
+
+void gic_start_count(void)
+{
+       u32 gicconfig;
+
+       /* Start the counter */
+       gicconfig = gic_read(GIC_REG(SHARED, GIC_SH_CONFIG));
+       gicconfig &= ~(1 << GIC_SH_CONFIG_COUNTSTOP_SHF);
+       gic_write(GIC_REG(SHARED, GIC_SH_CONFIG), gicconfig);
+}
+
+void gic_stop_count(void)
+{
+       u32 gicconfig;
+
+       /* Stop the counter */
+       gicconfig = gic_read(GIC_REG(SHARED, GIC_SH_CONFIG));
+       gicconfig |= 1 << GIC_SH_CONFIG_COUNTSTOP_SHF;
+       gic_write(GIC_REG(SHARED, GIC_SH_CONFIG), gicconfig);
+}
+
 #endif
 
 static bool gic_local_irq_is_routable(int intr)
index 384e6ed61d7c5e94a3081380b0bde6edc2d08129..cdf80b7794cd738e38ec956f3246ae216f9ddde1 100644 (file)
@@ -17,6 +17,7 @@
  * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
  */
 
+#include <linux/clk.h>
 #include <linux/init.h>
 #include <linux/platform_device.h>
 #include <linux/spinlock.h>
 #include <linux/slab.h>
 #include <linux/module.h>
 #include <linux/platform_data/irq-renesas-irqc.h>
+#include <linux/pm_runtime.h>
 
-#define IRQC_IRQ_MAX 32 /* maximum 32 interrupts per driver instance */
+#define IRQC_IRQ_MAX   32      /* maximum 32 interrupts per driver instance */
 
-#define IRQC_REQ_STS 0x00
-#define IRQC_EN_STS 0x04
-#define IRQC_EN_SET 0x08
+#define IRQC_REQ_STS   0x00    /* Interrupt Request Status Register */
+#define IRQC_EN_STS    0x04    /* Interrupt Enable Status Register */
+#define IRQC_EN_SET    0x08    /* Interrupt Enable Set Register */
 #define IRQC_INT_CPU_BASE(n) (0x000 + ((n) * 0x10))
-#define DETECT_STATUS 0x100
+                               /* SYS-CPU vs. RT-CPU */
+#define DETECT_STATUS  0x100   /* IRQn Detect Status Register */
+#define MONITOR                0x104   /* IRQn Signal Level Monitor Register */
+#define HLVL_STS       0x108   /* IRQn High Level Detect Status Register */
+#define LLVL_STS       0x10c   /* IRQn Low Level Detect Status Register */
+#define S_R_EDGE_STS   0x110   /* IRQn Sync Rising Edge Detect Status Reg. */
+#define S_F_EDGE_STS   0x114   /* IRQn Sync Falling Edge Detect Status Reg. */
+#define A_R_EDGE_STS   0x118   /* IRQn Async Rising Edge Detect Status Reg. */
+#define A_F_EDGE_STS   0x11c   /* IRQn Async Falling Edge Detect Status Reg. */
+#define CHTEN_STS      0x120   /* Chattering Reduction Status Register */
 #define IRQC_CONFIG(n) (0x180 + ((n) * 0x04))
+                               /* IRQn Configuration Register */
 
 struct irqc_irq {
        int hw_irq;
@@ -55,6 +67,7 @@ struct irqc_priv {
        struct platform_device *pdev;
        struct irq_chip irq_chip;
        struct irq_domain *irq_domain;
+       struct clk *clk;
 };
 
 static void irqc_dbg(struct irqc_irq *i, char *str)
@@ -94,7 +107,7 @@ static int irqc_irq_set_type(struct irq_data *d, unsigned int type)
        struct irqc_priv *p = irq_data_get_irq_chip_data(d);
        int hw_irq = irqd_to_hwirq(d);
        unsigned char value = irqc_sense[type & IRQ_TYPE_SENSE_MASK];
-       unsigned long tmp;
+       u32 tmp;
 
        irqc_dbg(&p->irq[hw_irq], "sense");
 
@@ -108,11 +121,26 @@ static int irqc_irq_set_type(struct irq_data *d, unsigned int type)
        return 0;
 }
 
+static int irqc_irq_set_wake(struct irq_data *d, unsigned int on)
+{
+       struct irqc_priv *p = irq_data_get_irq_chip_data(d);
+
+       if (!p->clk)
+               return 0;
+
+       if (on)
+               clk_enable(p->clk);
+       else
+               clk_disable(p->clk);
+
+       return 0;
+}
+
 static irqreturn_t irqc_irq_handler(int irq, void *dev_id)
 {
        struct irqc_irq *i = dev_id;
        struct irqc_priv *p = i->p;
-       unsigned long bit = BIT(i->hw_irq);
+       u32 bit = BIT(i->hw_irq);
 
        irqc_dbg(i, "demux1");
 
@@ -170,6 +198,15 @@ static int irqc_probe(struct platform_device *pdev)
        p->pdev = pdev;
        platform_set_drvdata(pdev, p);
 
+       p->clk = devm_clk_get(&pdev->dev, NULL);
+       if (IS_ERR(p->clk)) {
+               dev_warn(&pdev->dev, "unable to get clock\n");
+               p->clk = NULL;
+       }
+
+       pm_runtime_enable(&pdev->dev);
+       pm_runtime_get_sync(&pdev->dev);
+
        /* get hold of manadatory IOMEM */
        io = platform_get_resource(pdev, IORESOURCE_MEM, 0);
        if (!io) {
@@ -210,7 +247,8 @@ static int irqc_probe(struct platform_device *pdev)
        irq_chip->irq_mask = irqc_irq_disable;
        irq_chip->irq_unmask = irqc_irq_enable;
        irq_chip->irq_set_type = irqc_irq_set_type;
-       irq_chip->flags = IRQCHIP_SKIP_SET_WAKE | IRQCHIP_MASK_ON_SUSPEND;
+       irq_chip->irq_set_wake = irqc_irq_set_wake;
+       irq_chip->flags = IRQCHIP_MASK_ON_SUSPEND;
 
        p->irq_domain = irq_domain_add_simple(pdev->dev.of_node,
                                              p->number_of_irqs,
@@ -250,6 +288,8 @@ err3:
 err2:
        iounmap(p->iomem);
 err1:
+       pm_runtime_put(&pdev->dev);
+       pm_runtime_disable(&pdev->dev);
        kfree(p);
 err0:
        return ret;
@@ -265,6 +305,8 @@ static int irqc_remove(struct platform_device *pdev)
 
        irq_domain_remove(p->irq_domain);
        iounmap(p->iomem);
+       pm_runtime_put(&pdev->dev);
+       pm_runtime_disable(&pdev->dev);
        kfree(p);
        return 0;
 }
diff --git a/drivers/irqchip/irq-st.c b/drivers/irqchip/irq-st.c
new file mode 100644 (file)
index 0000000..9af48a8
--- /dev/null
@@ -0,0 +1,206 @@
+/*
+ *  Copyright (C) 2014 STMicroelectronics – All Rights Reserved
+ *
+ *  Author: Lee Jones <lee.jones@linaro.org>
+ *
+ *  This is a re-write of Christophe Kerello's PMU driver.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#include <dt-bindings/interrupt-controller/irq-st.h>
+#include <linux/err.h>
+#include <linux/mfd/syscon.h>
+#include <linux/of_device.h>
+#include <linux/platform_device.h>
+#include <linux/regmap.h>
+#include <linux/slab.h>
+
+#define STIH415_SYSCFG_642             0x0a8
+#define STIH416_SYSCFG_7543            0x87c
+#define STIH407_SYSCFG_5102            0x198
+#define STID127_SYSCFG_734             0x088
+
+#define ST_A9_IRQ_MASK                 0x001FFFFF
+#define ST_A9_IRQ_MAX_CHANS            2
+
+#define ST_A9_IRQ_EN_CTI_0             BIT(0)
+#define ST_A9_IRQ_EN_CTI_1             BIT(1)
+#define ST_A9_IRQ_EN_PMU_0             BIT(2)
+#define ST_A9_IRQ_EN_PMU_1             BIT(3)
+#define ST_A9_IRQ_EN_PL310_L2          BIT(4)
+#define ST_A9_IRQ_EN_EXT_0             BIT(5)
+#define ST_A9_IRQ_EN_EXT_1             BIT(6)
+#define ST_A9_IRQ_EN_EXT_2             BIT(7)
+
+#define ST_A9_FIQ_N_SEL(dev, chan)     (dev << (8  + (chan * 3)))
+#define ST_A9_IRQ_N_SEL(dev, chan)     (dev << (14 + (chan * 3)))
+#define ST_A9_EXTIRQ_INV_SEL(dev)      (dev << 20)
+
+struct st_irq_syscfg {
+       struct regmap *regmap;
+       unsigned int syscfg;
+       unsigned int config;
+       bool ext_inverted;
+};
+
+static const struct of_device_id st_irq_syscfg_match[] = {
+       {
+               .compatible = "st,stih415-irq-syscfg",
+               .data = (void *)STIH415_SYSCFG_642,
+       },
+       {
+               .compatible = "st,stih416-irq-syscfg",
+               .data = (void *)STIH416_SYSCFG_7543,
+       },
+       {
+               .compatible = "st,stih407-irq-syscfg",
+               .data = (void *)STIH407_SYSCFG_5102,
+       },
+       {
+               .compatible = "st,stid127-irq-syscfg",
+               .data = (void *)STID127_SYSCFG_734,
+       },
+       {}
+};
+
+static int st_irq_xlate(struct platform_device *pdev,
+                       int device, int channel, bool irq)
+{
+       struct st_irq_syscfg *ddata = dev_get_drvdata(&pdev->dev);
+
+       /* Set the device enable bit. */
+       switch (device) {
+       case ST_IRQ_SYSCFG_EXT_0:
+               ddata->config |= ST_A9_IRQ_EN_EXT_0;
+               break;
+       case ST_IRQ_SYSCFG_EXT_1:
+               ddata->config |= ST_A9_IRQ_EN_EXT_1;
+               break;
+       case ST_IRQ_SYSCFG_EXT_2:
+               ddata->config |= ST_A9_IRQ_EN_EXT_2;
+               break;
+       case ST_IRQ_SYSCFG_CTI_0:
+               ddata->config |= ST_A9_IRQ_EN_CTI_0;
+               break;
+       case ST_IRQ_SYSCFG_CTI_1:
+               ddata->config |= ST_A9_IRQ_EN_CTI_1;
+               break;
+       case ST_IRQ_SYSCFG_PMU_0:
+               ddata->config |= ST_A9_IRQ_EN_PMU_0;
+               break;
+       case ST_IRQ_SYSCFG_PMU_1:
+               ddata->config |= ST_A9_IRQ_EN_PMU_1;
+               break;
+       case ST_IRQ_SYSCFG_pl310_L2:
+               ddata->config |= ST_A9_IRQ_EN_PL310_L2;
+               break;
+       case ST_IRQ_SYSCFG_DISABLED:
+               return 0;
+       default:
+               dev_err(&pdev->dev, "Unrecognised device %d\n", device);
+               return -EINVAL;
+       }
+
+       /* Select IRQ/FIQ channel for device. */
+       ddata->config |= irq ?
+               ST_A9_IRQ_N_SEL(device, channel) :
+               ST_A9_FIQ_N_SEL(device, channel);
+
+       return 0;
+}
+
+static int st_irq_syscfg_enable(struct platform_device *pdev)
+{
+       struct device_node *np = pdev->dev.of_node;
+       struct st_irq_syscfg *ddata = dev_get_drvdata(&pdev->dev);
+       int channels, ret, i;
+       u32 device, invert;
+
+       channels = of_property_count_u32_elems(np, "st,irq-device");
+       if (channels != ST_A9_IRQ_MAX_CHANS) {
+               dev_err(&pdev->dev, "st,enable-irq-device must have 2 elems\n");
+               return -EINVAL;
+       }
+
+       channels = of_property_count_u32_elems(np, "st,fiq-device");
+       if (channels != ST_A9_IRQ_MAX_CHANS) {
+               dev_err(&pdev->dev, "st,enable-fiq-device must have 2 elems\n");
+               return -EINVAL;
+       }
+
+       for (i = 0; i < ST_A9_IRQ_MAX_CHANS; i++) {
+               of_property_read_u32_index(np, "st,irq-device", i, &device);
+
+               ret = st_irq_xlate(pdev, device, i, true);
+               if (ret)
+                       return ret;
+
+               of_property_read_u32_index(np, "st,fiq-device", i, &device);
+
+               ret = st_irq_xlate(pdev, device, i, false);
+               if (ret)
+                       return ret;
+       }
+
+       /* External IRQs may be inverted. */
+       of_property_read_u32(np, "st,invert-ext", &invert);
+       ddata->config |= ST_A9_EXTIRQ_INV_SEL(invert);
+
+       return regmap_update_bits(ddata->regmap, ddata->syscfg,
+                                 ST_A9_IRQ_MASK, ddata->config);
+}
+
+static int st_irq_syscfg_probe(struct platform_device *pdev)
+{
+       struct device_node *np = pdev->dev.of_node;
+       const struct of_device_id *match;
+       struct st_irq_syscfg *ddata;
+
+       ddata = devm_kzalloc(&pdev->dev, sizeof(*ddata), GFP_KERNEL);
+       if (!ddata)
+               return -ENOMEM;
+
+       match = of_match_device(st_irq_syscfg_match, &pdev->dev);
+       if (!match)
+               return -ENODEV;
+
+       ddata->syscfg = (unsigned int)match->data;
+
+       ddata->regmap = syscon_regmap_lookup_by_phandle(np, "st,syscfg");
+       if (IS_ERR(ddata->regmap)) {
+               dev_err(&pdev->dev, "syscfg phandle missing\n");
+               return PTR_ERR(ddata->regmap);
+       }
+
+       dev_set_drvdata(&pdev->dev, ddata);
+
+       return st_irq_syscfg_enable(pdev);
+}
+
+static int st_irq_syscfg_resume(struct device *dev)
+{
+       struct st_irq_syscfg *ddata = dev_get_drvdata(dev);
+
+       return regmap_update_bits(ddata->regmap, ddata->syscfg,
+                                 ST_A9_IRQ_MASK, ddata->config);
+}
+
+static SIMPLE_DEV_PM_OPS(st_irq_syscfg_pm_ops, NULL, st_irq_syscfg_resume);
+
+static struct platform_driver st_irq_syscfg_driver = {
+       .driver = {
+               .name = "st_irq_syscfg",
+               .pm = &st_irq_syscfg_pm_ops,
+               .of_match_table = st_irq_syscfg_match,
+       },
+       .probe = st_irq_syscfg_probe,
+};
+
+static int __init st_irq_syscfg_init(void)
+{
+       return platform_driver_register(&st_irq_syscfg_driver);
+}
+core_initcall(st_irq_syscfg_init);
diff --git a/drivers/irqchip/irq-tegra.c b/drivers/irqchip/irq-tegra.c
new file mode 100644 (file)
index 0000000..51c485d
--- /dev/null
@@ -0,0 +1,377 @@
+/*
+ * Driver code for Tegra's Legacy Interrupt Controller
+ *
+ * Author: Marc Zyngier <marc.zyngier@arm.com>
+ *
+ * Heavily based on the original arch/arm/mach-tegra/irq.c code:
+ * Copyright (C) 2011 Google, Inc.
+ *
+ * Author:
+ *     Colin Cross <ccross@android.com>
+ *
+ * Copyright (C) 2010,2013, NVIDIA Corporation
+ *
+ * This software is licensed under the terms of the GNU General Public
+ * License version 2, as published by the Free Software Foundation, and
+ * may be copied, distributed, and modified under those terms.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ */
+
+#include <linux/io.h>
+#include <linux/irq.h>
+#include <linux/irqdomain.h>
+#include <linux/of_address.h>
+#include <linux/slab.h>
+#include <linux/syscore_ops.h>
+
+#include <dt-bindings/interrupt-controller/arm-gic.h>
+
+#include "irqchip.h"
+
+#define ICTLR_CPU_IEP_VFIQ     0x08
+#define ICTLR_CPU_IEP_FIR      0x14
+#define ICTLR_CPU_IEP_FIR_SET  0x18
+#define ICTLR_CPU_IEP_FIR_CLR  0x1c
+
+#define ICTLR_CPU_IER          0x20
+#define ICTLR_CPU_IER_SET      0x24
+#define ICTLR_CPU_IER_CLR      0x28
+#define ICTLR_CPU_IEP_CLASS    0x2C
+
+#define ICTLR_COP_IER          0x30
+#define ICTLR_COP_IER_SET      0x34
+#define ICTLR_COP_IER_CLR      0x38
+#define ICTLR_COP_IEP_CLASS    0x3c
+
+#define TEGRA_MAX_NUM_ICTLRS   6
+
+static unsigned int num_ictlrs;
+
+struct tegra_ictlr_soc {
+       unsigned int num_ictlrs;
+};
+
+static const struct tegra_ictlr_soc tegra20_ictlr_soc = {
+       .num_ictlrs = 4,
+};
+
+static const struct tegra_ictlr_soc tegra30_ictlr_soc = {
+       .num_ictlrs = 5,
+};
+
+static const struct tegra_ictlr_soc tegra210_ictlr_soc = {
+       .num_ictlrs = 6,
+};
+
+static const struct of_device_id ictlr_matches[] = {
+       { .compatible = "nvidia,tegra210-ictlr", .data = &tegra210_ictlr_soc },
+       { .compatible = "nvidia,tegra30-ictlr", .data = &tegra30_ictlr_soc },
+       { .compatible = "nvidia,tegra20-ictlr", .data = &tegra20_ictlr_soc },
+       { }
+};
+
+struct tegra_ictlr_info {
+       void __iomem *base[TEGRA_MAX_NUM_ICTLRS];
+#ifdef CONFIG_PM_SLEEP
+       u32 cop_ier[TEGRA_MAX_NUM_ICTLRS];
+       u32 cop_iep[TEGRA_MAX_NUM_ICTLRS];
+       u32 cpu_ier[TEGRA_MAX_NUM_ICTLRS];
+       u32 cpu_iep[TEGRA_MAX_NUM_ICTLRS];
+
+       u32 ictlr_wake_mask[TEGRA_MAX_NUM_ICTLRS];
+#endif
+};
+
+static struct tegra_ictlr_info *lic;
+
+static inline void tegra_ictlr_write_mask(struct irq_data *d, unsigned long reg)
+{
+       void __iomem *base = d->chip_data;
+       u32 mask;
+
+       mask = BIT(d->hwirq % 32);
+       writel_relaxed(mask, base + reg);
+}
+
+static void tegra_mask(struct irq_data *d)
+{
+       tegra_ictlr_write_mask(d, ICTLR_CPU_IER_CLR);
+       irq_chip_mask_parent(d);
+}
+
+static void tegra_unmask(struct irq_data *d)
+{
+       tegra_ictlr_write_mask(d, ICTLR_CPU_IER_SET);
+       irq_chip_unmask_parent(d);
+}
+
+static void tegra_eoi(struct irq_data *d)
+{
+       tegra_ictlr_write_mask(d, ICTLR_CPU_IEP_FIR_CLR);
+       irq_chip_eoi_parent(d);
+}
+
+static int tegra_retrigger(struct irq_data *d)
+{
+       tegra_ictlr_write_mask(d, ICTLR_CPU_IEP_FIR_SET);
+       return irq_chip_retrigger_hierarchy(d);
+}
+
+#ifdef CONFIG_PM_SLEEP
+static int tegra_set_wake(struct irq_data *d, unsigned int enable)
+{
+       u32 irq = d->hwirq;
+       u32 index, mask;
+
+       index = (irq / 32);
+       mask = BIT(irq % 32);
+       if (enable)
+               lic->ictlr_wake_mask[index] |= mask;
+       else
+               lic->ictlr_wake_mask[index] &= ~mask;
+
+       /*
+        * Do *not* call into the parent, as the GIC doesn't have any
+        * wake-up facility...
+        */
+       return 0;
+}
+
+static int tegra_ictlr_suspend(void)
+{
+       unsigned long flags;
+       unsigned int i;
+
+       local_irq_save(flags);
+       for (i = 0; i < num_ictlrs; i++) {
+               void __iomem *ictlr = lic->base[i];
+
+               /* Save interrupt state */
+               lic->cpu_ier[i] = readl_relaxed(ictlr + ICTLR_CPU_IER);
+               lic->cpu_iep[i] = readl_relaxed(ictlr + ICTLR_CPU_IEP_CLASS);
+               lic->cop_ier[i] = readl_relaxed(ictlr + ICTLR_COP_IER);
+               lic->cop_iep[i] = readl_relaxed(ictlr + ICTLR_COP_IEP_CLASS);
+
+               /* Disable COP interrupts */
+               writel_relaxed(~0ul, ictlr + ICTLR_COP_IER_CLR);
+
+               /* Disable CPU interrupts */
+               writel_relaxed(~0ul, ictlr + ICTLR_CPU_IER_CLR);
+
+               /* Enable the wakeup sources of ictlr */
+               writel_relaxed(lic->ictlr_wake_mask[i], ictlr + ICTLR_CPU_IER_SET);
+       }
+       local_irq_restore(flags);
+
+       return 0;
+}
+
+static void tegra_ictlr_resume(void)
+{
+       unsigned long flags;
+       unsigned int i;
+
+       local_irq_save(flags);
+       for (i = 0; i < num_ictlrs; i++) {
+               void __iomem *ictlr = lic->base[i];
+
+               writel_relaxed(lic->cpu_iep[i],
+                              ictlr + ICTLR_CPU_IEP_CLASS);
+               writel_relaxed(~0ul, ictlr + ICTLR_CPU_IER_CLR);
+               writel_relaxed(lic->cpu_ier[i],
+                              ictlr + ICTLR_CPU_IER_SET);
+               writel_relaxed(lic->cop_iep[i],
+                              ictlr + ICTLR_COP_IEP_CLASS);
+               writel_relaxed(~0ul, ictlr + ICTLR_COP_IER_CLR);
+               writel_relaxed(lic->cop_ier[i],
+                              ictlr + ICTLR_COP_IER_SET);
+       }
+       local_irq_restore(flags);
+}
+
+static struct syscore_ops tegra_ictlr_syscore_ops = {
+       .suspend        = tegra_ictlr_suspend,
+       .resume         = tegra_ictlr_resume,
+};
+
+static void tegra_ictlr_syscore_init(void)
+{
+       register_syscore_ops(&tegra_ictlr_syscore_ops);
+}
+#else
+#define tegra_set_wake NULL
+static inline void tegra_ictlr_syscore_init(void) {}
+#endif
+
+static struct irq_chip tegra_ictlr_chip = {
+       .name                   = "LIC",
+       .irq_eoi                = tegra_eoi,
+       .irq_mask               = tegra_mask,
+       .irq_unmask             = tegra_unmask,
+       .irq_retrigger          = tegra_retrigger,
+       .irq_set_wake           = tegra_set_wake,
+       .flags                  = IRQCHIP_MASK_ON_SUSPEND,
+#ifdef CONFIG_SMP
+       .irq_set_affinity       = irq_chip_set_affinity_parent,
+#endif
+};
+
+static int tegra_ictlr_domain_xlate(struct irq_domain *domain,
+                                   struct device_node *controller,
+                                   const u32 *intspec,
+                                   unsigned int intsize,
+                                   unsigned long *out_hwirq,
+                                   unsigned int *out_type)
+{
+       if (domain->of_node != controller)
+               return -EINVAL; /* Shouldn't happen, really... */
+       if (intsize != 3)
+               return -EINVAL; /* Not GIC compliant */
+       if (intspec[0] != GIC_SPI)
+               return -EINVAL; /* No PPI should point to this domain */
+
+       *out_hwirq = intspec[1];
+       *out_type = intspec[2];
+       return 0;
+}
+
+static int tegra_ictlr_domain_alloc(struct irq_domain *domain,
+                                   unsigned int virq,
+                                   unsigned int nr_irqs, void *data)
+{
+       struct of_phandle_args *args = data;
+       struct of_phandle_args parent_args;
+       struct tegra_ictlr_info *info = domain->host_data;
+       irq_hw_number_t hwirq;
+       unsigned int i;
+
+       if (args->args_count != 3)
+               return -EINVAL; /* Not GIC compliant */
+       if (args->args[0] != GIC_SPI)
+               return -EINVAL; /* No PPI should point to this domain */
+
+       hwirq = args->args[1];
+       if (hwirq >= (num_ictlrs * 32))
+               return -EINVAL;
+
+       for (i = 0; i < nr_irqs; i++) {
+               int ictlr = (hwirq + i) / 32;
+
+               irq_domain_set_hwirq_and_chip(domain, virq + i, hwirq + i,
+                                             &tegra_ictlr_chip,
+                                             &info->base[ictlr]);
+       }
+
+       parent_args = *args;
+       parent_args.np = domain->parent->of_node;
+       return irq_domain_alloc_irqs_parent(domain, virq, nr_irqs, &parent_args);
+}
+
+static void tegra_ictlr_domain_free(struct irq_domain *domain,
+                                   unsigned int virq,
+                                   unsigned int nr_irqs)
+{
+       unsigned int i;
+
+       for (i = 0; i < nr_irqs; i++) {
+               struct irq_data *d = irq_domain_get_irq_data(domain, virq + i);
+               irq_domain_reset_irq_data(d);
+       }
+}
+
+static const struct irq_domain_ops tegra_ictlr_domain_ops = {
+       .xlate  = tegra_ictlr_domain_xlate,
+       .alloc  = tegra_ictlr_domain_alloc,
+       .free   = tegra_ictlr_domain_free,
+};
+
+static int __init tegra_ictlr_init(struct device_node *node,
+                                  struct device_node *parent)
+{
+       struct irq_domain *parent_domain, *domain;
+       const struct of_device_id *match;
+       const struct tegra_ictlr_soc *soc;
+       unsigned int i;
+       int err;
+
+       if (!parent) {
+               pr_err("%s: no parent, giving up\n", node->full_name);
+               return -ENODEV;
+       }
+
+       parent_domain = irq_find_host(parent);
+       if (!parent_domain) {
+               pr_err("%s: unable to obtain parent domain\n", node->full_name);
+               return -ENXIO;
+       }
+
+       match = of_match_node(ictlr_matches, node);
+       if (!match)             /* Should never happen... */
+               return -ENODEV;
+
+       soc = match->data;
+
+       lic = kzalloc(sizeof(*lic), GFP_KERNEL);
+       if (!lic)
+               return -ENOMEM;
+
+       for (i = 0; i < TEGRA_MAX_NUM_ICTLRS; i++) {
+               void __iomem *base;
+
+               base = of_iomap(node, i);
+               if (!base)
+                       break;
+
+               lic->base[i] = base;
+
+               /* Disable all interrupts */
+               writel_relaxed(~0UL, base + ICTLR_CPU_IER_CLR);
+               /* All interrupts target IRQ */
+               writel_relaxed(0, base + ICTLR_CPU_IEP_CLASS);
+
+               num_ictlrs++;
+       }
+
+       if (!num_ictlrs) {
+               pr_err("%s: no valid regions, giving up\n", node->full_name);
+               err = -ENOMEM;
+               goto out_free;
+       }
+
+       WARN(num_ictlrs != soc->num_ictlrs,
+            "%s: Found %u interrupt controllers in DT; expected %u.\n",
+            node->full_name, num_ictlrs, soc->num_ictlrs);
+
+
+       domain = irq_domain_add_hierarchy(parent_domain, 0, num_ictlrs * 32,
+                                         node, &tegra_ictlr_domain_ops,
+                                         lic);
+       if (!domain) {
+               pr_err("%s: failed to allocated domain\n", node->full_name);
+               err = -ENOMEM;
+               goto out_unmap;
+       }
+
+       tegra_ictlr_syscore_init();
+
+       pr_info("%s: %d interrupts forwarded to %s\n",
+               node->full_name, num_ictlrs * 32, parent->full_name);
+
+       return 0;
+
+out_unmap:
+       for (i = 0; i < num_ictlrs; i++)
+               iounmap(lic->base[i]);
+out_free:
+       kfree(lic);
+       return err;
+}
+
+IRQCHIP_DECLARE(tegra20_ictlr, "nvidia,tegra20-ictlr", tegra_ictlr_init);
+IRQCHIP_DECLARE(tegra30_ictlr, "nvidia,tegra30-ictlr", tegra_ictlr_init);
+IRQCHIP_DECLARE(tegra210_ictlr, "nvidia,tegra210-ictlr", tegra_ictlr_init);
diff --git a/drivers/irqchip/irq-vf610-mscm-ir.c b/drivers/irqchip/irq-vf610-mscm-ir.c
new file mode 100644 (file)
index 0000000..9521057
--- /dev/null
@@ -0,0 +1,212 @@
+/*
+ * Copyright (C) 2014-2015 Toradex AG
+ * Author: Stefan Agner <stefan@agner.ch>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ *
+ *
+ * IRQ chip driver for MSCM interrupt router available on Vybrid SoC's.
+ * The interrupt router is between the CPU's interrupt controller and the
+ * peripheral. The router allows to route the peripheral interrupts to
+ * one of the two available CPU's on Vybrid VF6xx SoC's (Cortex-A5 or
+ * Cortex-M4). The router will be configured transparently on a IRQ
+ * request.
+ *
+ * o All peripheral interrupts of the Vybrid SoC can be routed to
+ *   CPU 0, CPU 1 or both. The routing is useful for dual-core
+ *   variants of Vybrid SoC such as VF6xx. This driver routes the
+ *   requested interrupt to the CPU currently running on.
+ *
+ * o It is required to setup the interrupt router even on single-core
+ *   variants of Vybrid.
+ */
+
+#include <linux/cpu_pm.h>
+#include <linux/io.h>
+#include <linux/irq.h>
+#include <linux/irqdomain.h>
+#include <linux/mfd/syscon.h>
+#include <dt-bindings/interrupt-controller/arm-gic.h>
+#include <linux/of.h>
+#include <linux/of_address.h>
+#include <linux/slab.h>
+#include <linux/regmap.h>
+
+#include "irqchip.h"
+
+#define MSCM_CPxNUM            0x4
+
+#define MSCM_IRSPRC(n)         (0x80 + 2 * (n))
+#define MSCM_IRSPRC_CPEN_MASK  0x3
+
+#define MSCM_IRSPRC_NUM                112
+
+struct vf610_mscm_ir_chip_data {
+       void __iomem *mscm_ir_base;
+       u16 cpu_mask;
+       u16 saved_irsprc[MSCM_IRSPRC_NUM];
+};
+
+static struct vf610_mscm_ir_chip_data *mscm_ir_data;
+
+static inline void vf610_mscm_ir_save(struct vf610_mscm_ir_chip_data *data)
+{
+       int i;
+
+       for (i = 0; i < MSCM_IRSPRC_NUM; i++)
+               data->saved_irsprc[i] = readw_relaxed(data->mscm_ir_base + MSCM_IRSPRC(i));
+}
+
+static inline void vf610_mscm_ir_restore(struct vf610_mscm_ir_chip_data *data)
+{
+       int i;
+
+       for (i = 0; i < MSCM_IRSPRC_NUM; i++)
+               writew_relaxed(data->saved_irsprc[i], data->mscm_ir_base + MSCM_IRSPRC(i));
+}
+
+static int vf610_mscm_ir_notifier(struct notifier_block *self,
+                                 unsigned long cmd, void *v)
+{
+       switch (cmd) {
+       case CPU_CLUSTER_PM_ENTER:
+               vf610_mscm_ir_save(mscm_ir_data);
+               break;
+       case CPU_CLUSTER_PM_ENTER_FAILED:
+       case CPU_CLUSTER_PM_EXIT:
+               vf610_mscm_ir_restore(mscm_ir_data);
+               break;
+       }
+
+       return NOTIFY_OK;
+}
+
+static struct notifier_block mscm_ir_notifier_block = {
+       .notifier_call = vf610_mscm_ir_notifier,
+};
+
+static void vf610_mscm_ir_enable(struct irq_data *data)
+{
+       irq_hw_number_t hwirq = data->hwirq;
+       struct vf610_mscm_ir_chip_data *chip_data = data->chip_data;
+       u16 irsprc;
+
+       irsprc = readw_relaxed(chip_data->mscm_ir_base + MSCM_IRSPRC(hwirq));
+       irsprc &= MSCM_IRSPRC_CPEN_MASK;
+
+       WARN_ON(irsprc & ~chip_data->cpu_mask);
+
+       writew_relaxed(chip_data->cpu_mask,
+                      chip_data->mscm_ir_base + MSCM_IRSPRC(hwirq));
+
+       irq_chip_unmask_parent(data);
+}
+
+static void vf610_mscm_ir_disable(struct irq_data *data)
+{
+       irq_hw_number_t hwirq = data->hwirq;
+       struct vf610_mscm_ir_chip_data *chip_data = data->chip_data;
+
+       writew_relaxed(0x0, chip_data->mscm_ir_base + MSCM_IRSPRC(hwirq));
+
+       irq_chip_mask_parent(data);
+}
+
+static struct irq_chip vf610_mscm_ir_irq_chip = {
+       .name                   = "mscm-ir",
+       .irq_mask               = irq_chip_mask_parent,
+       .irq_unmask             = irq_chip_unmask_parent,
+       .irq_eoi                = irq_chip_eoi_parent,
+       .irq_enable             = vf610_mscm_ir_enable,
+       .irq_disable            = vf610_mscm_ir_disable,
+       .irq_retrigger          = irq_chip_retrigger_hierarchy,
+       .irq_set_affinity       = irq_chip_set_affinity_parent,
+};
+
+static int vf610_mscm_ir_domain_alloc(struct irq_domain *domain, unsigned int virq,
+                                     unsigned int nr_irqs, void *arg)
+{
+       int i;
+       irq_hw_number_t hwirq;
+       struct of_phandle_args *irq_data = arg;
+       struct of_phandle_args gic_data;
+
+       if (irq_data->args_count != 2)
+               return -EINVAL;
+
+       hwirq = irq_data->args[0];
+       for (i = 0; i < nr_irqs; i++)
+               irq_domain_set_hwirq_and_chip(domain, virq + i, hwirq + i,
+                                             &vf610_mscm_ir_irq_chip,
+                                             domain->host_data);
+
+       gic_data.np = domain->parent->of_node;
+       gic_data.args_count = 3;
+       gic_data.args[0] = GIC_SPI;
+       gic_data.args[1] = irq_data->args[0];
+       gic_data.args[2] = irq_data->args[1];
+       return irq_domain_alloc_irqs_parent(domain, virq, nr_irqs, &gic_data);
+}
+
+static const struct irq_domain_ops mscm_irq_domain_ops = {
+       .xlate = irq_domain_xlate_twocell,
+       .alloc = vf610_mscm_ir_domain_alloc,
+       .free = irq_domain_free_irqs_common,
+};
+
+static int __init vf610_mscm_ir_of_init(struct device_node *node,
+                              struct device_node *parent)
+{
+       struct irq_domain *domain, *domain_parent;
+       struct regmap *mscm_cp_regmap;
+       int ret, cpuid;
+
+       domain_parent = irq_find_host(parent);
+       if (!domain_parent) {
+               pr_err("vf610_mscm_ir: interrupt-parent not found\n");
+               return -EINVAL;
+       }
+
+       mscm_ir_data = kzalloc(sizeof(*mscm_ir_data), GFP_KERNEL);
+       if (!mscm_ir_data)
+               return -ENOMEM;
+
+       mscm_ir_data->mscm_ir_base = of_io_request_and_map(node, 0, "mscm-ir");
+
+       if (!mscm_ir_data->mscm_ir_base) {
+               pr_err("vf610_mscm_ir: unable to map mscm register\n");
+               ret = -ENOMEM;
+               goto out_free;
+       }
+
+       mscm_cp_regmap = syscon_regmap_lookup_by_phandle(node, "fsl,cpucfg");
+       if (IS_ERR(mscm_cp_regmap)) {
+               ret = PTR_ERR(mscm_cp_regmap);
+               pr_err("vf610_mscm_ir: regmap lookup for cpucfg failed\n");
+               goto out_unmap;
+       }
+
+       regmap_read(mscm_cp_regmap, MSCM_CPxNUM, &cpuid);
+       mscm_ir_data->cpu_mask = 0x1 << cpuid;
+
+       domain = irq_domain_add_hierarchy(domain_parent, 0,
+                                         MSCM_IRSPRC_NUM, node,
+                                         &mscm_irq_domain_ops, mscm_ir_data);
+       if (!domain) {
+               ret = -ENOMEM;
+               goto out_unmap;
+       }
+
+       cpu_pm_register_notifier(&mscm_ir_notifier_block);
+
+       return 0;
+
+out_unmap:
+       iounmap(mscm_ir_data->mscm_ir_base);
+out_free:
+       kfree(mscm_ir_data);
+       return ret;
+}
+IRQCHIP_DECLARE(vf610_mscm_ir, "fsl,vf610-mscm-ir", vf610_mscm_ir_of_init);
diff --git a/include/dt-bindings/interrupt-controller/irq-st.h b/include/dt-bindings/interrupt-controller/irq-st.h
new file mode 100644 (file)
index 0000000..4c59ace
--- /dev/null
@@ -0,0 +1,30 @@
+/*
+ *  include/linux/irqchip/irq-st.h
+ *
+ *  Copyright (C) 2014 STMicroelectronics – All Rights Reserved
+ *
+ *  Author: Lee Jones <lee.jones@linaro.org>
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License version 2 as
+ * published by the Free Software Foundation.
+ */
+
+#ifndef _DT_BINDINGS_INTERRUPT_CONTROLLER_ST_H
+#define _DT_BINDINGS_INTERRUPT_CONTROLLER_ST_H
+
+#define ST_IRQ_SYSCFG_EXT_0            0
+#define ST_IRQ_SYSCFG_EXT_1            1
+#define ST_IRQ_SYSCFG_EXT_2            2
+#define ST_IRQ_SYSCFG_CTI_0            3
+#define ST_IRQ_SYSCFG_CTI_1            4
+#define ST_IRQ_SYSCFG_PMU_0            5
+#define ST_IRQ_SYSCFG_PMU_1            6
+#define ST_IRQ_SYSCFG_pl310_L2         7
+#define ST_IRQ_SYSCFG_DISABLED         0xFFFFFFFF
+
+#define ST_IRQ_SYSCFG_EXT_1_INV                0x1
+#define ST_IRQ_SYSCFG_EXT_2_INV                0x2
+#define ST_IRQ_SYSCFG_EXT_3_INV                0x4
+
+#endif
index e6a6aac451db4614df9cc4e58911f9ff6629620d..3ea2e4754c40f2a00090be89735eaac03e82a180 100644 (file)
@@ -240,6 +240,8 @@ extern unsigned int gic_get_count_width(void);
 extern cycle_t gic_read_compare(void);
 extern void gic_write_compare(cycle_t cnt);
 extern void gic_write_cpu_compare(cycle_t cnt, int cpu);
+extern void gic_start_count(void);
+extern void gic_stop_count(void);
 extern void gic_send_ipi(unsigned int intr);
 extern unsigned int plat_ipi_call_int_xlate(unsigned int);
 extern unsigned int plat_ipi_resched_int_xlate(unsigned int);