camera: all sensor driver(ov3640/ov9650 exception) can be compiled success in rk30...
[firefly-linux-kernel-4.4.55.git] / drivers / media / video / mt9t111.c
1 /*
2  * Driver for OV5642 CMOS Image Sensor from OmniVision
3  *
4  * Copyright (C) 2008, Guennadi Liakhovetski <kernel@pengutronix.de>
5  *
6  * This program is free software; you can redistribute it and/or modify
7  * it under the terms of the GNU General Public License version 2 as
8  * published by the Free Software Foundation.
9  */
10
11 #include <linux/videodev2.h>
12 #include <linux/slab.h>
13 #include <linux/i2c.h>
14 #include <linux/log2.h>
15 #include <linux/platform_device.h>
16 #include <linux/delay.h>
17 #include <linux/circ_buf.h>
18 #include <linux/hardirq.h>
19 #include <linux/miscdevice.h>
20 #include <media/v4l2-common.h>
21 #include <media/v4l2-chip-ident.h>
22 #include <media/soc_camera.h>
23 #include <plat/rk_camera.h>
24 #include "mt9t111.h"
25
26 static int debug;
27 module_param(debug, int, S_IRUGO|S_IWUSR);
28
29 #define dprintk(level, fmt, arg...) do {                        \
30         if (debug >= level)                                     \
31         printk(KERN_WARNING fmt , ## arg); } while (0)
32
33 #define SENSOR_TR(format, ...) printk(KERN_ERR format, ## __VA_ARGS__)
34 #define SENSOR_DG(format, ...) dprintk(1, format, ## __VA_ARGS__)
35
36 #define _CONS(a,b) a##b
37 #define CONS(a,b) _CONS(a,b)
38
39 #define __STR(x) #x
40 #define _STR(x) __STR(x)
41 #define STR(x) _STR(x)
42
43 #define MIN(x,y)   ((x<y) ? x: y)
44 #define MAX(x,y)    ((x>y) ? x: y)
45
46 /* Sensor Driver Configuration */
47 #define SENSOR_NAME RK29_CAM_SENSOR_MT9T111
48 #define SENSOR_V4L2_IDENT V4L2_IDENT_MT9T111
49 #define SENSOR_ID SEQUENCE_END
50 #define SENSOR_ID_REG SEQUENCE_END
51 #define SENSOR_RESET_REG 0x001a
52 #define SENSOR_RESET_VAL 0x0018
53 #define SENSOR_RESET_REG_LEN  WORD_LEN
54 #define SENSOR_MIN_WIDTH    176
55 #define SENSOR_MIN_HEIGHT   144
56 #define SENSOR_MAX_WIDTH    2048
57 #define SENSOR_MAX_HEIGHT   1536
58 #define SENSOR_INIT_WIDTH       640                     /* Sensor pixel size for sensor_init_data array */
59 #define SENSOR_INIT_HEIGHT  480
60 #define SENSOR_INIT_WINSEQADR sensor_vga
61 #define SENSOR_INIT_PIXFMT V4L2_MBUS_FMT_UYVY8_2X8
62
63 #define CONFIG_SENSOR_WhiteBalance      1
64 #define CONFIG_SENSOR_Brightness        0
65 #define CONFIG_SENSOR_Contrast      0
66 #define CONFIG_SENSOR_Saturation    0
67 #define CONFIG_SENSOR_Effect        1
68 #define CONFIG_SENSOR_Scene         1
69 #define CONFIG_SENSOR_DigitalZoom   0
70 #define CONFIG_SENSOR_Exposure      1
71 #define CONFIG_SENSOR_Flash         1
72 #define CONFIG_SENSOR_Mirror        0
73 #define CONFIG_SENSOR_Flip          1
74 #define CONFIG_SENSOR_Focus         1
75
76 /*Sensor write registers at a time*/
77 #define CONFIG_SENSOR_WRITE_REGS  1
78
79
80 #define CONFIG_SENSOR_I2C_SPEED     350000       /* Hz */
81 /* Sensor write register continues by preempt_disable/preempt_enable for current process not be scheduled */
82 #define CONFIG_SENSOR_I2C_NOSCHED   0
83 #define CONFIG_SENSOR_I2C_RDWRCHK   0
84
85
86 #define SENSOR_BUS_PARAM  (SOCAM_MASTER | SOCAM_PCLK_SAMPLE_RISING|\
87                           SOCAM_HSYNC_ACTIVE_HIGH | SOCAM_VSYNC_ACTIVE_HIGH|\
88                           SOCAM_DATA_ACTIVE_HIGH | SOCAM_DATAWIDTH_8  |SOCAM_MCLK_24MHZ)
89
90 #define COLOR_TEMPERATURE_CLOUDY_DN    6500
91 #define COLOR_TEMPERATURE_CLOUDY_UP    8000
92 #define COLOR_TEMPERATURE_CLEARDAY_DN  5000
93 #define COLOR_TEMPERATURE_CLEARDAY_UP    6500
94 #define COLOR_TEMPERATURE_OFFICE_DN     3500
95 #define COLOR_TEMPERATURE_OFFICE_UP     5000
96 #define COLOR_TEMPERATURE_HOME_DN       2500
97 #define COLOR_TEMPERATURE_HOME_UP       3500
98
99 #define SENSOR_NAME_STRING(a) STR(CONS(SENSOR_NAME, a))
100 #define SENSOR_NAME_VARFUN(a) CONS(SENSOR_NAME, a)
101
102 #define SENSOR_AF_IS_ERR    (0x00<<0)
103 #define SENSOR_AF_IS_OK         (0x01<<0)
104 #define SENSOR_INIT_IS_ERR   (0x00<<28)
105 #define SENSOR_INIT_IS_OK    (0x01<<28)
106
107 #if CONFIG_SENSOR_Focus
108 #define SENSOR_AF_MODE_INFINITY    0
109 #define SENSOR_AF_MODE_MACRO       1
110 #define SENSOR_AF_MODE_FIXED       2
111 #define SENSOR_AF_MODE_AUTO        3
112 #define SENSOR_AF_MODE_CONTINUOUS  4
113 #define SENSOR_AF_MODE_CLOSE       5
114 #endif
115
116 /* init 640X480 VGA */
117 static struct reginfo sensor_init_data[] =
118 {
119 //[Step2-PLL_Timing]
120 //for 24MHz input, VCO=MAX PCLK=76.8MHz
121 #if 0
122 { 0x001A, 0x0019, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
123 { 0x001A, 0x0018, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
124 { 0x0014, 0x2425, WORD_LEN, 0 },        // PLL_CONTROL
125 { 0x0014, 0x2425, WORD_LEN, 0 },        // PLL_CONTROL
126 { 0x0014, 0x2145, WORD_LEN, 0 },        // PLL_CONTROL
127 { 0x0010, 0x0110, WORD_LEN, 0 },        // PLL_DIVIDERS
128 { 0x0012, 0x0070, WORD_LEN, 0 },        // PLL_P_DIVIDERS
129 { 0x002A, 0x77CC, WORD_LEN, 0 },        // PLL_P4_P5_P6_DIVIDERS
130 { 0x001A, 0x0218, WORD_LEN, 0 },        // RESET_AND_MISC_CONTROL
131 { 0x0014, 0x2545, WORD_LEN, 0 },        // PLL_CONTROL
132 { 0x0014, 0x2547, WORD_LEN, 0 },        // PLL_CONTROL
133 { 0x0014, 0x2447, WORD_LEN, 0 },        // PLL_CONTROL
134 { 0x0014, 0x2047, WORD_LEN, 0 },        // PLL_CONTROL 
135         
136 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
137
138 { 0x0014, 0x2046, WORD_LEN, 0 },        // PLL_CONTROL
139 { 0x0022, 0x01E0, WORD_LEN, 0 },        // VDD_DIS_COUNTER
140 { 0x001E, 0x0777, WORD_LEN, 0 },        // PAD_SLEW_PAD_CONFIG
141 { 0x0016, 0x0400, WORD_LEN, 0 },        // CLOCKS_CONTROL
142 { 0x3B84, 0x0127, WORD_LEN, 0 },        // I2C_MASTER_FREQUENCY_DIVIDER
143 { 0x001E, 0x0777, WORD_LEN, 0 },        // PAD_SLEW_PAD_CONFIG
144 { 0x0018, 0x402D, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
145 { 0x0018, 0x402C, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
146         
147 { SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
148
149 { 0x098E, 0x6800, WORD_LEN, 0 },        // MCU_ADDRESS
150 { 0x0990, 0x0280, WORD_LEN, 0 },        // MCU_DATA_0
151 { 0x098E, 0x6802, WORD_LEN, 0 },        // MCU_ADDRESS
152 { 0x0990, 0x01E0, WORD_LEN, 0 },        // MCU_DATA_0
153 { 0x098E, 0xE88E, WORD_LEN, 0 },        // MCU_ADDRESS
154 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
155 { 0x098E, 0x68A0, WORD_LEN, 0 },        // MCU_ADDRESS
156 { 0x0990, 0x082D, WORD_LEN, 0 },        // MCU_DATA_0
157 { 0x098E, 0x4802, WORD_LEN, 0 },        // MCU_ADDRESS
158 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
159 { 0x098E, 0x4804, WORD_LEN, 0 },        // MCU_ADDRESS
160 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
161 { 0x098E, 0x4806, WORD_LEN, 0 },        // MCU_ADDRESS
162 { 0x0990, 0x060D, WORD_LEN, 0 },        // MCU_DATA_0
163 { 0x098E, 0x4808, WORD_LEN, 0 },        // MCU_ADDRESS
164 { 0x0990, 0x080D, WORD_LEN, 0 },        // MCU_DATA_0
165 { 0x098E, 0x480A, WORD_LEN, 0 },        // MCU_ADDRESS
166 { 0x0990, 0x0111, WORD_LEN, 0 },        // MCU_DATA_0
167 { 0x098E, 0x480C, WORD_LEN, 0 },        // MCU_ADDRESS
168 { 0x0990, 0x046C, WORD_LEN, 0 },        // MCU_DATA_0
169 { 0x098E, 0x480F, WORD_LEN, 0 },        // MCU_ADDRESS
170 { 0x0990, 0x00CC, WORD_LEN, 0 },        // MCU_DATA_0
171 { 0x098E, 0x4811, WORD_LEN, 0 },        // MCU_ADDRESS
172 { 0x0990, 0x0381, WORD_LEN, 0 },        // MCU_DATA_0
173 { 0x098E, 0x4813, WORD_LEN, 0 },        // MCU_ADDRESS
174 { 0x0990, 0x024F, WORD_LEN, 0 },        // MCU_DATA_0
175 { 0x098E, 0x481D, WORD_LEN, 0 },        // MCU_ADDRESS
176 { 0x0990, 0x03EA, WORD_LEN, 0 },        // MCU_DATA_0
177 { 0x098E, 0x481F, WORD_LEN, 0 },        // MCU_ADDRESS
178 { 0x0990, 0x05D0, WORD_LEN, 0 },        // MCU_DATA_0
179 { 0x098E, 0x4825, WORD_LEN, 0 },        // MCU_ADDRESS
180 { 0x0990, 0x07AC, WORD_LEN, 0 },        // MCU_DATA_0
181 { 0x098E, 0x482B, WORD_LEN, 0 },        // MCU_ADDRESS
182 { 0x0990, 0x0408, WORD_LEN, 0 },        // MCU_DATA_0
183 { 0x098E, 0x482D, WORD_LEN, 0 },        // MCU_ADDRESS
184 { 0x0990, 0x0308, WORD_LEN, 0 },        // MCU_DATA_0
185 { 0x098E, 0x6C00, WORD_LEN, 0 },        // MCU_ADDRESS
186 { 0x0990, 0x0800, WORD_LEN, 0 },        // MCU_DATA_0
187 { 0x098E, 0x6C02, WORD_LEN, 0 },        // MCU_ADDRESS
188 { 0x0990, 0x0600, WORD_LEN, 0 },        // MCU_DATA_0
189 { 0x098E, 0xEC8E, WORD_LEN, 0 },        // MCU_ADDRESS
190 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
191 { 0x098E, 0x6CA0, WORD_LEN, 0 },        // MCU_ADDRESS
192 { 0x0990, 0x082D, WORD_LEN, 0 },        // MCU_DATA_0
193 { 0x098E, 0x484A, WORD_LEN, 0 },        // MCU_ADDRESS
194 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
195 { 0x098E, 0x484C, WORD_LEN, 0 },        // MCU_ADDRESS
196 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
197 { 0x098E, 0x484E, WORD_LEN, 0 },        // MCU_ADDRESS
198 { 0x0990, 0x060B, WORD_LEN, 0 },        // MCU_DATA_0
199 { 0x098E, 0x4850, WORD_LEN, 0 },        // MCU_ADDRESS
200 { 0x0990, 0x080B, WORD_LEN, 0 },        // MCU_DATA_0
201 { 0x098E, 0x4852, WORD_LEN, 0 },        // MCU_ADDRESS
202 { 0x0990, 0x0111, WORD_LEN, 0 },        // MCU_DATA_0
203 { 0x098E, 0x4854, WORD_LEN, 0 },        // MCU_ADDRESS
204 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
205 { 0x098E, 0x4857, WORD_LEN, 0 },        // MCU_ADDRESS
206 { 0x0990, 0x008C, WORD_LEN, 0 },        // MCU_DATA_0
207 { 0x098E, 0x4859, WORD_LEN, 0 },        // MCU_ADDRESS
208 { 0x0990, 0x01F1, WORD_LEN, 0 },        // MCU_DATA_0
209 { 0x098E, 0x485B, WORD_LEN, 0 },        // MCU_ADDRESS
210 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
211 { 0x098E, 0x4865, WORD_LEN, 0 },        // MCU_ADDRESS
212 { 0x0990, 0x0857, WORD_LEN, 0 },        // MCU_DATA_0
213 { 0x098E, 0x4867, WORD_LEN, 0 },        // MCU_ADDRESS
214 { 0x0990, 0x0378, WORD_LEN, 0 },        // MCU_DATA_0
215 { 0x098E, 0x486D, WORD_LEN, 0 },        // MCU_ADDRESS
216 { 0x0990, 0x0ACE, WORD_LEN, 0 },        // MCU_DATA_0
217 { 0x098E, 0x4873, WORD_LEN, 0 },        // MCU_ADDRESS
218 { 0x0990, 0x0808, WORD_LEN, 0 },        // MCU_DATA_0
219 { 0x098E, 0x4875, WORD_LEN, 0 },        // MCU_ADDRESS
220 { 0x0990, 0x0608, WORD_LEN, 0 },        // MCU_DATA_0
221 { 0x098E, 0xC8A5, WORD_LEN, 0 },        // MCU_ADDRESS
222 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
223 { 0x098E, 0xC8A6, WORD_LEN, 0 },        // MCU_ADDRESS
224 { 0x0990, 0x0021, WORD_LEN, 0 },        // MCU_DATA_0
225 { 0x098E, 0xC8A7, WORD_LEN, 0 },        // MCU_ADDRESS
226 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
227 { 0x098E, 0xC8A8, WORD_LEN, 0 },        // MCU_ADDRESS
228 { 0x0990, 0x0027, WORD_LEN, 0 },        // MCU_DATA_0
229 { 0x098E, 0xC844, WORD_LEN, 0 },        // MCU_ADDRESS
230 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
231 { 0x098E, 0xC92F, WORD_LEN, 0 },        // MCU_ADDRESS
232 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
233 { 0x098E, 0xC845, WORD_LEN, 0 },        // MCU_ADDRESS
234 { 0x0990, 0x007D, WORD_LEN, 0 },        // MCU_DATA_0
235 { 0x098E, 0xC92D, WORD_LEN, 0 },        // MCU_ADDRESS
236 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
237 { 0x098E, 0xC88C, WORD_LEN, 0 },        // MCU_ADDRESS
238 { 0x0990, 0x006B, WORD_LEN, 0 },        // MCU_DATA_0
239 { 0x098E, 0xC930, WORD_LEN, 0 },        // MCU_ADDRESS
240 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
241 { 0x098E, 0xC88D, WORD_LEN, 0 },        // MCU_ADDRESS
242 { 0x0990, 0x0059, WORD_LEN, 0 },        // MCU_DATA_0
243 { 0x098E, 0xC92E, WORD_LEN, 0 },        // MCU_ADDRESS
244 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
245 { 0x098E, 0xA002, WORD_LEN, 0 },        // MCU_ADDRESS
246 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
247 { 0x098E, 0xA009, WORD_LEN, 0 },        // MCU_ADDRESS
248 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
249 { 0x098E, 0xA00A, WORD_LEN, 0 },        // MCU_ADDRESS
250 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
251 { 0x098E, 0xA00C, WORD_LEN, 0 },        // MCU_ADDRESS
252 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
253 { 0x098E, 0x4846, WORD_LEN, 0 },        // MCU_ADDRESS
254 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
255 { 0x098E, 0x68AA, WORD_LEN, 0 },        // MCU_ADDRESS
256 { 0x0990, 0x02EE, WORD_LEN, 0 },        // MCU_DATA_0
257 { 0x098E, 0x6815, WORD_LEN, 0 },        // MCU_ADDRESS
258 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
259 { 0x098E, 0x6817, WORD_LEN, 0 },        // MCU_ADDRESS
260 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
261 { 0x098E, 0x682D, WORD_LEN, 0 },        // MCU_ADDRESS
262 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
263 { 0x098E, 0x488E, WORD_LEN, 0 },        // MCU_ADDRESS
264 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
265 { 0x098E, 0x6CAA, WORD_LEN, 0 },        // MCU_ADDRESS
266 { 0x0990, 0x01A2, WORD_LEN, 0 },        // MCU_DATA_0
267 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS
268 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
269 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS
270 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0
271 { 0x3C20, 0x0000, WORD_LEN, 0 },        // TX_SS_CONTROL
272
273 //[LensShading  TL84]
274 { 0x364A, 0x00F0, WORD_LEN, 0 },        // P_R_P0Q0
275 { 0x364C, 0x1B2D, WORD_LEN, 0 },        // P_R_P0Q1
276 { 0x364E, 0x6491, WORD_LEN, 0 },        // P_R_P0Q2
277 { 0x3650, 0x2210, WORD_LEN, 0 },        // P_R_P0Q3
278 { 0x3652, 0xCD30, WORD_LEN, 0 },        // P_R_P0Q4
279 { 0x368A, 0x368B, WORD_LEN, 0 },        // P_R_P1Q0
280 { 0x368C, 0x3A6E, WORD_LEN, 0 },        // P_R_P1Q1
281 { 0x368E, 0x0B8F, WORD_LEN, 0 },        // P_R_P1Q2
282 { 0x3690, 0x974F, WORD_LEN, 0 },        // P_R_P1Q3
283 { 0x3692, 0xD5B0, WORD_LEN, 0 },        // P_R_P1Q4
284 { 0x36CA, 0x0C32, WORD_LEN, 0 },        // P_R_P2Q0
285 { 0x36CC, 0x33B0, WORD_LEN, 0 },        // P_R_P2Q1
286 { 0x36CE, 0x6413, WORD_LEN, 0 },        // P_R_P2Q2
287 { 0x36D0, 0xF130, WORD_LEN, 0 },        // P_R_P2Q3
288 { 0x36D2, 0x8EF6, WORD_LEN, 0 },        // P_R_P2Q4
289 { 0x370A, 0x50CA, WORD_LEN, 0 },        // P_R_P3Q0
290 { 0x370C, 0x2570, WORD_LEN, 0 },        // P_R_P3Q1
291 { 0x370E, 0xFDEE, WORD_LEN, 0 },        // P_R_P3Q2
292 { 0x3710, 0xEDB1, WORD_LEN, 0 },        // P_R_P3Q3
293 { 0x3712, 0x68EF, WORD_LEN, 0 },        // P_R_P3Q4
294 { 0x374A, 0x1E50, WORD_LEN, 0 },        // P_R_P4Q0
295 { 0x374C, 0xB9D0, WORD_LEN, 0 },        // P_R_P4Q1
296 { 0x374E, 0x82B7, WORD_LEN, 0 },        // P_R_P4Q2
297 { 0x3750, 0x550E, WORD_LEN, 0 },        // P_R_P4Q3
298 { 0x3752, 0x1FF9, WORD_LEN, 0 },        // P_R_P4Q4
299 { 0x3640, 0x0750, WORD_LEN, 0 },        // P_G1_P0Q0
300 { 0x3642, 0x36CD, WORD_LEN, 0 },        // P_G1_P0Q1
301 { 0x3644, 0x5DB1, WORD_LEN, 0 },        // P_G1_P0Q2
302 { 0x3646, 0x23F0, WORD_LEN, 0 },        // P_G1_P0Q3
303 { 0x3648, 0xB9F1, WORD_LEN, 0 },        // P_G1_P0Q4
304 { 0x3680, 0x5C8B, WORD_LEN, 0 },        // P_G1_P1Q0
305 { 0x3682, 0x8F6F, WORD_LEN, 0 },        // P_G1_P1Q1
306 { 0x3684, 0x53AA, WORD_LEN, 0 },        // P_G1_P1Q2
307 { 0x3686, 0x2B6C, WORD_LEN, 0 },        // P_G1_P1Q3
308 { 0x3688, 0x9D70, WORD_LEN, 0 },        // P_G1_P1Q4
309 { 0x36C0, 0x2D12, WORD_LEN, 0 },        // P_G1_P2Q0
310 { 0x36C2, 0x0D11, WORD_LEN, 0 },        // P_G1_P2Q1
311 { 0x36C4, 0xAD4D, WORD_LEN, 0 },        // P_G1_P2Q2
312 { 0x36C6, 0x9872, WORD_LEN, 0 },        // P_G1_P2Q3
313 { 0x36C8, 0xC9B4, WORD_LEN, 0 },        // P_G1_P2Q4
314 { 0x3700, 0x920F, WORD_LEN, 0 },        // P_G1_P3Q0
315 { 0x3702, 0x8A4E, WORD_LEN, 0 },        // P_G1_P3Q1
316 { 0x3704, 0x502F, WORD_LEN, 0 },        // P_G1_P3Q2
317 { 0x3706, 0x7951, WORD_LEN, 0 },        // P_G1_P3Q3
318 { 0x3708, 0x0013, WORD_LEN, 0 },        // P_G1_P3Q4
319 { 0x3740, 0xDC12, WORD_LEN, 0 },        // P_G1_P4Q0
320 { 0x3742, 0xEA52, WORD_LEN, 0 },        // P_G1_P4Q1
321 { 0x3744, 0xC735, WORD_LEN, 0 },        // P_G1_P4Q2
322 { 0x3746, 0x2F94, WORD_LEN, 0 },        // P_G1_P4Q3
323 { 0x3748, 0x6C18, WORD_LEN, 0 },        // P_G1_P4Q4
324 { 0x3654, 0x0210, WORD_LEN, 0 },        // P_B_P0Q0
325 { 0x3656, 0x384D, WORD_LEN, 0 },        // P_B_P0Q1
326 { 0x3658, 0x27D1, WORD_LEN, 0 },        // P_B_P0Q2
327 { 0x365A, 0x02F0, WORD_LEN, 0 },        // P_B_P0Q3
328 { 0x365C, 0xC530, WORD_LEN, 0 },        // P_B_P0Q4
329 { 0x3694, 0x70AA, WORD_LEN, 0 },        // P_B_P1Q0
330 { 0x3696, 0x964D, WORD_LEN, 0 },        // P_B_P1Q1
331 { 0x3698, 0xA58B, WORD_LEN, 0 },        // P_B_P1Q2
332 { 0x369A, 0xA88F, WORD_LEN, 0 },        // P_B_P1Q3
333 { 0x369C, 0xA90F, WORD_LEN, 0 },        // P_B_P1Q4
334 { 0x36D4, 0x07D2, WORD_LEN, 0 },        // P_B_P2Q0
335 { 0x36D6, 0x10F0, WORD_LEN, 0 },        // P_B_P2Q1
336 { 0x36D8, 0xDDA7, WORD_LEN, 0 },        // P_B_P2Q2
337 { 0x36DA, 0xE9B1, WORD_LEN, 0 },        // P_B_P2Q3
338 { 0x36DC, 0x9AF4, WORD_LEN, 0 },        // P_B_P2Q4
339 { 0x3714, 0x02EE, WORD_LEN, 0 },        // P_B_P3Q0
340 { 0x3716, 0xB250, WORD_LEN, 0 },        // P_B_P3Q1
341 { 0x3718, 0x770B, WORD_LEN, 0 },        // P_B_P3Q2
342 { 0x371A, 0x6BB2, WORD_LEN, 0 },        // P_B_P3Q3
343 { 0x371C, 0x57F0, WORD_LEN, 0 },        // P_B_P3Q4
344 { 0x3754, 0xCEB2, WORD_LEN, 0 },        // P_B_P4Q0
345 { 0x3756, 0xC730, WORD_LEN, 0 },        // P_B_P4Q1
346 { 0x3758, 0xBC34, WORD_LEN, 0 },        // P_B_P4Q2
347 { 0x375A, 0x0C14, WORD_LEN, 0 },        // P_B_P4Q3
348 { 0x375C, 0x2F18, WORD_LEN, 0 },        // P_B_P4Q4
349 { 0x365E, 0x0130, WORD_LEN, 0 },        // P_G2_P0Q0
350 { 0x3660, 0x1C2D, WORD_LEN, 0 },        // P_G2_P0Q1
351 { 0x3662, 0x5031, WORD_LEN, 0 },        // P_G2_P0Q2
352 { 0x3664, 0x1670, WORD_LEN, 0 },        // P_G2_P0Q3
353 { 0x3666, 0x9B71, WORD_LEN, 0 },        // P_G2_P0Q4
354 { 0x369E, 0x5BAB, WORD_LEN, 0 },        // P_G2_P1Q0
355 { 0x36A0, 0x054F, WORD_LEN, 0 },        // P_G2_P1Q1
356 { 0x36A2, 0x2589, WORD_LEN, 0 },        // P_G2_P1Q2
357 { 0x36A4, 0xF54F, WORD_LEN, 0 },        // P_G2_P1Q3
358 { 0x36A6, 0xCFAE, WORD_LEN, 0 },        // P_G2_P1Q4
359 { 0x36DE, 0x2A92, WORD_LEN, 0 },        // P_G2_P2Q0
360 { 0x36E0, 0x0311, WORD_LEN, 0 },        // P_G2_P2Q1
361 { 0x36E2, 0x832F, WORD_LEN, 0 },        // P_G2_P2Q2
362 { 0x36E4, 0xA232, WORD_LEN, 0 },        // P_G2_P2Q3
363 { 0x36E6, 0xCB34, WORD_LEN, 0 },        // P_G2_P2Q4
364 { 0x371E, 0xFA6E, WORD_LEN, 0 },        // P_G2_P3Q0
365 { 0x3720, 0x712F, WORD_LEN, 0 },        // P_G2_P3Q1
366 { 0x3722, 0x5A31, WORD_LEN, 0 },        // P_G2_P3Q2
367 { 0x3724, 0xAC52, WORD_LEN, 0 },        // P_G2_P3Q3
368 { 0x3726, 0xB751, WORD_LEN, 0 },        // P_G2_P3Q4
369 { 0x375E, 0xDFF2, WORD_LEN, 0 },        // P_G2_P4Q0
370 { 0x3760, 0xEE32, WORD_LEN, 0 },        // P_G2_P4Q1
371 { 0x3762, 0x9FF5, WORD_LEN, 0 },        // P_G2_P4Q2
372 { 0x3764, 0x7154, WORD_LEN, 0 },        // P_G2_P4Q3
373 { 0x3766, 0x50D8, WORD_LEN, 0 },        // P_G2_P4Q4
374 { 0x3784, 0x0400, WORD_LEN, 0 },        // CENTER_COLUMN
375 { 0x3782, 0x0300, WORD_LEN, 0 },        // CENTER_ROW
376 { 0x3210, 0x01B8, WORD_LEN, 0 },        // COLOR_PIPELINE_CONTROL
377 { 0x098E, 0xC913, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
378 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
379 { 0x098E, 0x686B, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
380 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
381 { 0x098E, 0x686D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
382 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
383 { 0x098E, 0x6C6B, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
384 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
385 { 0x098E, 0x6C6D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
386 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
387 { 0x098E, 0x3439, WORD_LEN, 0 },        // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
388 { 0x0990, 0x05DC, WORD_LEN, 0 },        // MCU_DATA_0
389 { 0x098E, 0x343B, WORD_LEN, 0 },        // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
390 { 0x0990, 0x0BB8, WORD_LEN, 0 },        // MCU_DATA_0
391 { 0x098E, 0x4926, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
392 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
393 { 0x098E, 0x4928, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
394 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
395 { 0x098E, 0x492A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
396 { 0x0990, 0x0656, WORD_LEN, 0 },        // MCU_DATA_0
397 { 0x098E, 0x4D26, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
398 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
399 { 0x098E, 0x4D28, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
400 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
401 { 0x098E, 0x4D2A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
402 { 0x0990, 0x0656, WORD_LEN, 0 },        // MCU_DATA_0
403 { 0x33F4, 0x040B, WORD_LEN, 0 },        // KERNEL_CONFIG
404 { 0x098E, 0xC916, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_0]
405 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
406 { 0x098E, 0xC919, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
407 { 0x0990, 0x0028, WORD_LEN, 0 },        // MCU_DATA_0
408 { 0x098E, 0xC917, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_1]
409 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
410 { 0x098E, 0xC918, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_START_2]
411 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
412 { 0x098E, 0xC91A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
413 { 0x0990, 0x0001, WORD_LEN, 0 },        // MCU_DATA_0
414 { 0x098E, 0xC91B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
415 { 0x0990, 0x0009, WORD_LEN, 0 },        // MCU_DATA_0
416 { 0x326C, 0x0C00, WORD_LEN, 0 },        // APERTURE_PARAMETERS_2D
417 { 0x098E, 0x494B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
418 { 0x0990, 0x0042, WORD_LEN, 0 },        // MCU_DATA_0
419 { 0x098E, 0x494D, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
420 { 0x0990, 0x012C, WORD_LEN, 0 },        // MCU_DATA_0
421 { 0x098E, 0xC91E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_0]
422 { 0x0990, 0x0012, WORD_LEN, 0 },        // MCU_DATA_0
423 { 0x098E, 0xC91F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_1]
424 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
425 { 0x098E, 0xC920, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_2]
426 { 0x0990, 0x0012, WORD_LEN, 0 },        // MCU_DATA_0
427 { 0x098E, 0xC921, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_START_3]
428 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0
429 { 0x098E, 0xC922, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
430 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
431 { 0x098E, 0xC923, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
432 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
433 { 0x098E, 0xC924, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
434 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
435 { 0x098E, 0xC925, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
436 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
437 { 0x098E, 0xBC02, WORD_LEN, 0 },        // MCU_ADDRESS [LL_MODE]
438 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
439 { 0x098E, 0xBC05, WORD_LEN, 0 },        // MCU_ADDRESS [LL_CLUSTER_DC_TH]
440 { 0x0990, 0x000E, WORD_LEN, 0 },        // MCU_DATA_0
441 { 0x316C, 0x350F, WORD_LEN, 0 },        // DAC_TXLO
442 { 0x098E, 0xC950, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
443 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
444 { 0x098E, 0xC94F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
445 { 0x0990, 0x0038, WORD_LEN, 0 },        // MCU_DATA_0
446 { 0x098E, 0xC952, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
447 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
448 { 0x098E, 0xC951, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
449 { 0x0990, 0x0051, WORD_LEN, 0 },        // MCU_DATA_0
450 { 0x098E, 0xC954, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
451 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
452 { 0x098E, 0xC953, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
453 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
454 { 0x098E, 0xC956, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
455 { 0x0990, 0x0010, WORD_LEN, 0 },        // MCU_DATA_0
456 { 0x098E, 0xC955, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
457 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
458 { 0x098E, 0xC958, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
459 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
460 { 0x098E, 0xC957, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
461 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
462 { 0x098E, 0xC95A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
463 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
464 { 0x098E, 0xC959, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
465 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
466 { 0x098E, 0xC95C, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
467 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
468 { 0x098E, 0xC95B, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
469 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
470 { 0x098E, 0xC95E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
471 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
472 { 0x098E, 0xC95D, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
473 { 0x0990, 0x0008, WORD_LEN, 0 },        // MCU_DATA_0
474 { 0x098E, 0xC95F, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
475 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
476 { 0x098E, 0x48DC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
477 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
478 { 0x098E, 0x48DE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
479 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
480 { 0x098E, 0x48E0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
481 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
482 { 0x098E, 0x48E2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
483 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
484 { 0x098E, 0x48E4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
485 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
486 { 0x098E, 0x48E6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
487 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
488 { 0x098E, 0x48E8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
489 { 0x0990, 0x004D, WORD_LEN, 0 },        // MCU_DATA_0
490 { 0x098E, 0x48EA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
491 { 0x0990, 0x0096, WORD_LEN, 0 },        // MCU_DATA_0
492 { 0x098E, 0x48EC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
493 { 0x0990, 0x001D, WORD_LEN, 0 },        // MCU_DATA_0
494 { 0x098E, 0xDC2A, WORD_LEN, 0 },        // MCU_ADDRESS [SYS_DELTA_GAIN]
495 { 0x0990, 0x000B, WORD_LEN, 0 },        // MCU_DATA_0
496 { 0x098E, 0xDC2B, WORD_LEN, 0 },        // MCU_ADDRESS [SYS_DELTA_THRESH]
497 { 0x0990, 0x0017, WORD_LEN, 0 },        // MCU_DATA_0
498 { 0x098E, 0xBC0B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
499 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
500 { 0x098E, 0xBC0C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
501 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
502 { 0x098E, 0xBC0D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
503 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
504 { 0x098E, 0xBC0E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
505 { 0x0990, 0x003E, WORD_LEN, 0 },        // MCU_DATA_0
506 { 0x098E, 0xBC0F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
507 { 0x0990, 0x005A, WORD_LEN, 0 },        // MCU_DATA_0
508 { 0x098E, 0xBC10, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
509 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
510 { 0x098E, 0xBC11, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
511 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
512 { 0x098E, 0xBC12, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
513 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
514 { 0x098E, 0xBC13, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
515 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
516 { 0x098E, 0xBC14, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
517 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
518 { 0x098E, 0xBC15, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
519 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
520 { 0x098E, 0xBC16, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
521 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
522 { 0x098E, 0xBC17, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
523 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
524 { 0x098E, 0xBC18, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
525 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
526 { 0x098E, 0xBC19, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
527 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
528 { 0x098E, 0xBC1A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
529 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
530 { 0x098E, 0xBC1B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
531 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
532 { 0x098E, 0xBC1C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
533 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
534 { 0x098E, 0xBC1D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
535 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
536 { 0x098E, 0xBC1E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
537 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
538 { 0x098E, 0xBC1F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
539 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
540 { 0x098E, 0xBC20, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
541 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
542 { 0x098E, 0xBC21, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
543 { 0x0990, 0x003E, WORD_LEN, 0 },        // MCU_DATA_0
544 { 0x098E, 0xBC22, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
545 { 0x0990, 0x005A, WORD_LEN, 0 },        // MCU_DATA_0
546 { 0x098E, 0xBC23, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
547 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
548 { 0x098E, 0xBC24, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
549 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
550 { 0x098E, 0xBC25, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
551 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
552 { 0x098E, 0xBC26, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
553 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
554 { 0x098E, 0xBC27, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
555 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
556 { 0x098E, 0xBC28, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
557 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
558 { 0x098E, 0xBC29, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
559 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
560 { 0x098E, 0xBC2A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
561 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
562 { 0x098E, 0xBC2B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
563 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
564 { 0x098E, 0xBC2C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
565 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
566 { 0x098E, 0xBC2D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
567 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
568 { 0x098E, 0xBC2E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
569 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
570 { 0x098E, 0xBC2F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
571 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
572 { 0x098E, 0xBC30, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
573 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
574 { 0x098E, 0xBC31, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
575 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
576 { 0x098E, 0xBC32, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
577 { 0x0990, 0x000D, WORD_LEN, 0 },        // MCU_DATA_0
578 { 0x098E, 0xBC33, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
579 { 0x0990, 0x0019, WORD_LEN, 0 },        // MCU_DATA_0
580 { 0x098E, 0xBC34, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
581 { 0x0990, 0x0030, WORD_LEN, 0 },        // MCU_DATA_0
582 { 0x098E, 0xBC35, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
583 { 0x0990, 0x0056, WORD_LEN, 0 },        // MCU_DATA_0
584 { 0x098E, 0xBC36, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
585 { 0x0990, 0x0070, WORD_LEN, 0 },        // MCU_DATA_0
586 { 0x098E, 0xBC37, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
587 { 0x0990, 0x0081, WORD_LEN, 0 },        // MCU_DATA_0
588 { 0x098E, 0xBC38, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
589 { 0x0990, 0x0090, WORD_LEN, 0 },        // MCU_DATA_0
590 { 0x098E, 0xBC39, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
591 { 0x0990, 0x009E, WORD_LEN, 0 },        // MCU_DATA_0
592 { 0x098E, 0xBC3A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
593 { 0x0990, 0x00AB, WORD_LEN, 0 },        // MCU_DATA_0
594 { 0x098E, 0xBC3B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
595 { 0x0990, 0x00B6, WORD_LEN, 0 },        // MCU_DATA_0
596 { 0x098E, 0xBC3C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
597 { 0x0990, 0x00C1, WORD_LEN, 0 },        // MCU_DATA_0
598 { 0x098E, 0xBC3D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
599 { 0x0990, 0x00CB, WORD_LEN, 0 },        // MCU_DATA_0
600 { 0x098E, 0xBC3E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
601 { 0x0990, 0x00D5, WORD_LEN, 0 },        // MCU_DATA_0
602 { 0x098E, 0xBC3F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
603 { 0x0990, 0x00DE, WORD_LEN, 0 },        // MCU_DATA_0
604 { 0x098E, 0xBC40, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
605 { 0x0990, 0x00E7, WORD_LEN, 0 },        // MCU_DATA_0
606 { 0x098E, 0xBC41, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
607 { 0x0990, 0x00EF, WORD_LEN, 0 },        // MCU_DATA_0
608 { 0x098E, 0xBC42, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
609 { 0x0990, 0x00F7, WORD_LEN, 0 },        // MCU_DATA_0
610 { 0x098E, 0xBC43, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
611 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
612 { 0x098E, 0x6865, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
613 { 0x0990, 0x00E0, WORD_LEN, 0 },        // MCU_DATA_0
614 { 0x098E, 0x6867, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
615 { 0x0990, 0x00F4, WORD_LEN, 0 },        // MCU_DATA_0
616 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
617 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
618 { 0x098E, 0xBC4A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
619 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
620 { 0x098E, 0xBC4B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_MED]
621 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
622 { 0x098E, 0xBC4C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
623 { 0x0990, 0x007F, WORD_LEN, 0 },        // MCU_DATA_0
624 { 0x3542, 0x0010, WORD_LEN, 0 },        // TONAL_X0
625 { 0x3544, 0x0030, WORD_LEN, 0 },        // TONAL_X1
626 { 0x3546, 0x0040, WORD_LEN, 0 },        // TONAL_X2
627 { 0x3548, 0x0080, WORD_LEN, 0 },        // TONAL_X3
628 { 0x354A, 0x0100, WORD_LEN, 0 },        // TONAL_X4
629 { 0x354C, 0x0200, WORD_LEN, 0 },        // TONAL_X5
630 { 0x354E, 0x0300, WORD_LEN, 0 },        // TONAL_X6
631 { 0x3550, 0x0010, WORD_LEN, 0 },        // TONAL_Y0
632 { 0x3552, 0x0030, WORD_LEN, 0 },        // TONAL_Y1
633 { 0x3554, 0x0040, WORD_LEN, 0 },        // TONAL_Y2
634 { 0x3556, 0x0080, WORD_LEN, 0 },        // TONAL_Y3
635 { 0x3558, 0x012C, WORD_LEN, 0 },        // TONAL_Y4
636 { 0x355A, 0x0320, WORD_LEN, 0 },        // TONAL_Y5
637 { 0x355C, 0x03E8, WORD_LEN, 0 },        // TONAL_Y6
638 { 0x3560, 0x0040, WORD_LEN, 0 },        // RECIPROCAL_OF_X0_MINUS_ZERO
639 { 0x3562, 0x0020, WORD_LEN, 0 },        // RECIPROCAL_OF_X1_MINUS_X0
640 { 0x3564, 0x0040, WORD_LEN, 0 },        // RECIPROCAL_OF_X2_MINUS_X1
641 { 0x3566, 0x0010, WORD_LEN, 0 },        // RECIPROCAL_OF_X3_MINUS_X2
642 { 0x3568, 0x0008, WORD_LEN, 0 },        // RECIPROCAL_OF_X4_MINUS_X3
643 { 0x356A, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_X5_MINUS_X4
644 { 0x356C, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_X6_MINUS_X5
645 { 0x356E, 0x0004, WORD_LEN, 0 },        // RECIPROCAL_OF_400_MINUS_X6
646 { 0x098E, 0x3C4D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_START_GAMMA_FTB]
647 { 0x0990, 0x0DAC, WORD_LEN, 0 },        // MCU_DATA_0
648 { 0x098E, 0x3C4F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
649 { 0x0990, 0x148A, WORD_LEN, 0 },        // MCU_DATA_0
650 { 0x098E, 0xC911, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
651 { 0x0990, 0x00C8, WORD_LEN, 0 },        // MCU_DATA_0
652 { 0x098E, 0xC8F4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
653 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
654 { 0x098E, 0xC8F5, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
655 { 0x0990, 0x0002, WORD_LEN, 0 },        // MCU_DATA_0
656 { 0x098E, 0x48F6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
657 { 0x0990, 0x3B4D, WORD_LEN, 0 },        // MCU_DATA_0
658 { 0x098E, 0x48F8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
659 { 0x0990, 0x6380, WORD_LEN, 0 },        // MCU_DATA_0
660 { 0x098E, 0x48FA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
661 { 0x0990, 0x9B18, WORD_LEN, 0 },        // MCU_DATA_0
662 { 0x098E, 0x48FC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
663 { 0x0990, 0x5D51, WORD_LEN, 0 },        // MCU_DATA_0
664 { 0x098E, 0x48FE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
665 { 0x0990, 0xEDE8, WORD_LEN, 0 },        // MCU_DATA_0
666 { 0x098E, 0x4900, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
667 { 0x0990, 0xE515, WORD_LEN, 0 },        // MCU_DATA_0
668 { 0x098E, 0x4902, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
669 { 0x0990, 0xBFF4, WORD_LEN, 0 },        // MCU_DATA_0
670 { 0x098E, 0x4904, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
671 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
672 { 0x098E, 0x4906, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
673 { 0x0990, 0x0026, WORD_LEN, 0 },        // MCU_DATA_0
674 { 0x098E, 0x4908, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
675 { 0x0990, 0x0033, WORD_LEN, 0 },        // MCU_DATA_0
676 { 0x098E, 0xE84A, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
677 { 0x0990, 0x0083, WORD_LEN, 0 },        // MCU_DATA_0
678 { 0x098E, 0xE84D, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
679 { 0x0990, 0x0083, WORD_LEN, 0 },        // MCU_DATA_0
680 { 0x098E, 0xE84C, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
681 { 0x0990, 0x0080, WORD_LEN, 0 },        // MCU_DATA_0
682 { 0x098E, 0xE84F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
683 { 0x0990, 0x0080, WORD_LEN, 0 },        // MCU_DATA_0
684 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
685 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
686 { 0x098E, 0x48B0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
687 { 0x0990, 0x0180, WORD_LEN, 0 },        // MCU_DATA_0
688 { 0x098E, 0x48B2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
689 { 0x0990, 0xFF7A, WORD_LEN, 0 },        // MCU_DATA_0
690 { 0x098E, 0x48B4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
691 { 0x0990, 0x0018, WORD_LEN, 0 },        // MCU_DATA_0
692 { 0x098E, 0x48B6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
693 { 0x0990, 0xFFCA, WORD_LEN, 0 },        // MCU_DATA_0
694 { 0x098E, 0x48B8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
695 { 0x0990, 0x017C, WORD_LEN, 0 },        // MCU_DATA_0
696 { 0x098E, 0x48BA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
697 { 0x0990, 0xFFCC, WORD_LEN, 0 },        // MCU_DATA_0
698 { 0x098E, 0x48BC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
699 { 0x0990, 0x000C, WORD_LEN, 0 },        // MCU_DATA_0
700 { 0x098E, 0x48BE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
701 { 0x0990, 0xFF1F, WORD_LEN, 0 },        // MCU_DATA_0
702 { 0x098E, 0x48C0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
703 { 0x0990, 0x01E8, WORD_LEN, 0 },        // MCU_DATA_0
704 { 0x098E, 0x48C2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
705 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
706 { 0x098E, 0x48C4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
707 { 0x0990, 0x0044, WORD_LEN, 0 },        // MCU_DATA_0
708 { 0x098E, 0x48C6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
709 { 0x0990, 0x0079, WORD_LEN, 0 },        // MCU_DATA_0
710 { 0x098E, 0x48C8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
711 { 0x0990, 0xFFAD, WORD_LEN, 0 },        // MCU_DATA_0
712 { 0x098E, 0x48CA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
713 { 0x0990, 0xFFE2, WORD_LEN, 0 },        // MCU_DATA_0
714 { 0x098E, 0x48CC, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
715 { 0x0990, 0x0033, WORD_LEN, 0 },        // MCU_DATA_0
716 { 0x098E, 0x48CE, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
717 { 0x0990, 0x002A, WORD_LEN, 0 },        // MCU_DATA_0
718 { 0x098E, 0x48D0, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
719 { 0x0990, 0xFFAA, WORD_LEN, 0 },        // MCU_DATA_0
720 { 0x098E, 0x48D2, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
721 { 0x0990, 0x0017, WORD_LEN, 0 },        // MCU_DATA_0
722 { 0x098E, 0x48D4, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
723 { 0x0990, 0x004B, WORD_LEN, 0 },        // MCU_DATA_0
724 { 0x098E, 0x48D6, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
725 { 0x0990, 0xFFA5, WORD_LEN, 0 },        // MCU_DATA_0
726 { 0x098E, 0x48D8, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
727 { 0x0990, 0x0015, WORD_LEN, 0 },        // MCU_DATA_0
728 { 0x098E, 0x48DA, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
729 { 0x0990, 0xFFE2, WORD_LEN, 0 },        // MCU_DATA_0
730 { 0x35A2, 0x0014, WORD_LEN, 0 },        // DARK_COLOR_KILL_CONTROLS
731 { 0x098E, 0xC949, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
732 { 0x0990, 0x0024, WORD_LEN, 0 },        // MCU_DATA_0
733 { 0x35A4, 0x0596, WORD_LEN, 0 },        // BRIGHT_COLOR_KILL_CONTROLS
734 { 0x098E, 0xC94A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
735 { 0x0990, 0x0062, WORD_LEN, 0 },        // MCU_DATA_0
736 { 0x098E, 0xC948, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
737 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
738 { 0x098E, 0xC914, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
739 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
740 { 0x098E, 0xC915, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
741 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0
742 { 0x098E, 0xE86F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
743 { 0x0990, 0x0060, WORD_LEN, 0 },        // MCU_DATA_0
744 { 0x098E, 0xE870, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
745 { 0x0990, 0x003C, WORD_LEN, 0 },        // MCU_DATA_0
746 { 0x098E, 0xEC6F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
747 { 0x0990, 0x0060, WORD_LEN, 0 },        // MCU_DATA_0
748 { 0x098E, 0xEC70, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
749 { 0x0990, 0x003C, WORD_LEN, 0 },        // MCU_DATA_0
750 { 0x098E, 0xE883, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
751 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
752 { 0x098E, 0xEC83, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
753 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
754 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
755 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
756 { 0x098E, 0xE885, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
757 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
758 { 0x098E, 0xE886, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
759 { 0x0990, 0x00D8, WORD_LEN, 0 },        // MCU_DATA_0
760 { 0x098E, 0xEC85, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
761 { 0x0990, 0x001E, WORD_LEN, 0 },        // MCU_DATA_0
762 { 0x098E, 0xEC86, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
763 { 0x0990, 0x00D8, WORD_LEN, 0 },        // MCU_DATA_0
764 { 0x098E, 0xE884, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
765 { 0x0990, 0x005C, WORD_LEN, 0 },        // MCU_DATA_0
766 { 0x098E, 0xEC84, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
767 { 0x0990, 0x005C, WORD_LEN, 0 },        // MCU_DATA_0
768 { 0x098E, 0x490A, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
769 { 0x0990, 0x0666, WORD_LEN, 0 },        // MCU_DATA_0
770 { 0x098E, 0x490C, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
771 { 0x0990, 0x0140, WORD_LEN, 0 },        // MCU_DATA_0
772 { 0x098E, 0x6857, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
773 { 0x0990, 0x0014, WORD_LEN, 0 },        // MCU_DATA_0
774 { 0x098E, 0x685C, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
775 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0
776 { 0x098E, 0x490E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
777 { 0x0990, 0x00A4, WORD_LEN, 0 },        // MCU_DATA_0
778 { 0x098E, 0xB43D, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_0]
779 { 0x0990, 0x0031, WORD_LEN, 0 },        // MCU_DATA_0
780 { 0x098E, 0xB43E, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_1]
781 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0
782 { 0x098E, 0xB43F, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_2]
783 { 0x0990, 0x0028, WORD_LEN, 0 },        // MCU_DATA_0
784 { 0x098E, 0xB440, WORD_LEN, 0 },        // MCU_ADDRESS [AS_START_ASVALUES_3]
785 { 0x0990, 0x0003, WORD_LEN, 0 },        // MCU_DATA_0
786 { 0x098E, 0xB441, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_0]
787 { 0x0990, 0x00CD, WORD_LEN, 0 },        // MCU_DATA_0
788 { 0x098E, 0xB442, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_1]
789 { 0x0990, 0x0064, WORD_LEN, 0 },        // MCU_DATA_0
790 { 0x098E, 0xB443, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_2]
791 { 0x0990, 0x000F, WORD_LEN, 0 },        // MCU_DATA_0
792 { 0x098E, 0xB444, WORD_LEN, 0 },        // MCU_ADDRESS [AS_STOP_ASVALUES_3]
793 { 0x0990, 0x0007, WORD_LEN, 0 },        // MCU_DATA_0
794 { 0x098E, 0x300D, WORD_LEN, 0 },        // MCU_ADDRESS [AF_FILTERS]
795 { 0x0990, 0x000F, WORD_LEN, 0 },        // MCU_DATA_0
796 { 0x098E, 0x3017, WORD_LEN, 0 },        // MCU_ADDRESS [AF_THRESHOLDS]
797 { 0x0990, 0x0F0F, WORD_LEN, 0 },        // MCU_DATA_0
798 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]
799 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
800 { 0x098E, 0xE81F, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
801 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
802 { 0x098E, 0x68A0, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
803 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
804 { 0x098E, 0x6CA0, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
805 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
806 { 0x098E, 0x70A0, WORD_LEN, 0 },        // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
807 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
808 { 0x098E, 0x74A0, WORD_LEN, 0 },        // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
809 { 0x0990, 0x082E, WORD_LEN, 0 },        // MCU_DATA_0
810 { 0x3C52, 0x082E, WORD_LEN, 0 },        // RESERVED_TX_SS_3C52
811 { 0x098E, 0x488E, WORD_LEN, 0 },        // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
812 { 0x0990, 0x0020, WORD_LEN, 0 },        // MCU_DATA_0
813 { 0x098E, 0xECAC, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
814 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0
815 { 0x3084, 0x2409, WORD_LEN, 0 },        // RESERVED_CORE_3084
816 { 0x3092, 0x0A49, WORD_LEN, 0 },        // RESERVED_CORE_3092
817 { 0x3094, 0x4949, WORD_LEN, 0 },        // RESERVED_CORE_3094
818 { 0x3096, 0x4950, WORD_LEN, 0 },        // RESERVED_CORE_3096
819 { 0x0982, 0x0000, WORD_LEN, 0 },        // ACCESS_CTL_STAT
820 { 0x098A, 0x0CFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
821 { 0x0990, 0x3C3C, WORD_LEN, 0 }, 
822 { 0x0992, 0x3C3C, WORD_LEN, 0 }, 
823 { 0x0994, 0x3C3C, WORD_LEN, 0 }, 
824 { 0x0996, 0x5F4F, WORD_LEN, 0 }, 
825 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
826 { 0x099A, 0x0AED, WORD_LEN, 0 }, 
827 { 0x099C, 0x08BD, WORD_LEN, 0 }, 
828 { 0x099E, 0x61D5, WORD_LEN, 0 }, 
829 { 0x098A, 0x0D0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
830 { 0x0990, 0xCE04, WORD_LEN, 0 }, 
831 { 0x0992, 0xCD1F, WORD_LEN, 0 }, 
832 { 0x0994, 0x1702, WORD_LEN, 0 }, 
833 { 0x0996, 0x11CC, WORD_LEN, 0 }, 
834 { 0x0998, 0x332E, WORD_LEN, 0 }, 
835 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
836 { 0x099C, 0x02CC, WORD_LEN, 0 }, 
837 { 0x099E, 0xFFFD, WORD_LEN, 0 }, 
838 { 0x098A, 0x0D1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
839 { 0x0990, 0xED00, WORD_LEN, 0 }, 
840 { 0x0992, 0xCC00, WORD_LEN, 0 }, 
841 { 0x0994, 0x02BD, WORD_LEN, 0 }, 
842 { 0x0996, 0x706D, WORD_LEN, 0 }, 
843 { 0x0998, 0x18DE, WORD_LEN, 0 }, 
844 { 0x099A, 0x1F18, WORD_LEN, 0 }, 
845 { 0x099C, 0x1F8E, WORD_LEN, 0 }, 
846 { 0x099E, 0x0110, WORD_LEN, 0 }, 
847 { 0x098A, 0x0D2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
848 { 0x0990, 0xCC3C, WORD_LEN, 0 }, 
849 { 0x0992, 0x5230, WORD_LEN, 0 }, 
850 { 0x0994, 0xED00, WORD_LEN, 0 }, 
851 { 0x0996, 0x18EC, WORD_LEN, 0 }, 
852 { 0x0998, 0xA0C4, WORD_LEN, 0 }, 
853 { 0x099A, 0xFDBD, WORD_LEN, 0 }, 
854 { 0x099C, 0x7021, WORD_LEN, 0 }, 
855 { 0x099E, 0x201E, WORD_LEN, 0 }, 
856 { 0x098A, 0x0D3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
857 { 0x0990, 0xCC3C, WORD_LEN, 0 }, 
858 { 0x0992, 0x5230, WORD_LEN, 0 }, 
859 { 0x0994, 0xED00, WORD_LEN, 0 }, 
860 { 0x0996, 0xDE1F, WORD_LEN, 0 }, 
861 { 0x0998, 0xECA0, WORD_LEN, 0 }, 
862 { 0x099A, 0xBD70, WORD_LEN, 0 }, 
863 { 0x099C, 0x21CC, WORD_LEN, 0 }, 
864 { 0x099E, 0x3C52, WORD_LEN, 0 }, 
865 { 0x098A, 0x0D4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
866 { 0x0990, 0x30ED, WORD_LEN, 0 }, 
867 { 0x0992, 0x02CC, WORD_LEN, 0 }, 
868 { 0x0994, 0xFFFC, WORD_LEN, 0 }, 
869 { 0x0996, 0xED00, WORD_LEN, 0 }, 
870 { 0x0998, 0xCC00, WORD_LEN, 0 }, 
871 { 0x099A, 0x02BD, WORD_LEN, 0 }, 
872 { 0x099C, 0x706D, WORD_LEN, 0 }, 
873 { 0x099E, 0xFC04, WORD_LEN, 0 }, 
874 { 0x098A, 0x0D5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
875 { 0x0990, 0xE11A, WORD_LEN, 0 }, 
876 { 0x0992, 0x8300, WORD_LEN, 0 }, 
877 { 0x0994, 0x0127, WORD_LEN, 0 }, 
878 { 0x0996, 0x201A, WORD_LEN, 0 }, 
879 { 0x0998, 0x8300, WORD_LEN, 0 }, 
880 { 0x099A, 0x0427, WORD_LEN, 0 }, 
881 { 0x099C, 0x221A, WORD_LEN, 0 }, 
882 { 0x099E, 0x8300, WORD_LEN, 0 }, 
883 { 0x098A, 0x0D6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
884 { 0x0990, 0x0827, WORD_LEN, 0 }, 
885 { 0x0992, 0x241A, WORD_LEN, 0 }, 
886 { 0x0994, 0x8300, WORD_LEN, 0 }, 
887 { 0x0996, 0x1027, WORD_LEN, 0 }, 
888 { 0x0998, 0x261A, WORD_LEN, 0 }, 
889 { 0x099A, 0x8300, WORD_LEN, 0 }, 
890 { 0x099C, 0x2027, WORD_LEN, 0 }, 
891 { 0x099E, 0x281A, WORD_LEN, 0 }, 
892 { 0x098A, 0x0D7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
893 { 0x0990, 0x8300, WORD_LEN, 0 }, 
894 { 0x0992, 0x4027, WORD_LEN, 0 }, 
895 { 0x0994, 0x2A20, WORD_LEN, 0 }, 
896 { 0x0996, 0x2ECC, WORD_LEN, 0 }, 
897 { 0x0998, 0x001E, WORD_LEN, 0 }, 
898 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
899 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
900 { 0x099E, 0x26CC, WORD_LEN, 0 }, 
901 { 0x098A, 0x0D8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
902 { 0x0990, 0x0022, WORD_LEN, 0 }, 
903 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
904 { 0x0994, 0x0A20, WORD_LEN, 0 }, 
905 { 0x0996, 0x1ECC, WORD_LEN, 0 }, 
906 { 0x0998, 0x0021, WORD_LEN, 0 }, 
907 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
908 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
909 { 0x099E, 0x16CC, WORD_LEN, 0 }, 
910 { 0x098A, 0x0D9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
911 { 0x0990, 0x0020, WORD_LEN, 0 }, 
912 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
913 { 0x0994, 0x0A20, WORD_LEN, 0 }, 
914 { 0x0996, 0x0ECC, WORD_LEN, 0 }, 
915 { 0x0998, 0x002A, WORD_LEN, 0 }, 
916 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
917 { 0x099C, 0x0A20, WORD_LEN, 0 }, 
918 { 0x099E, 0x06CC, WORD_LEN, 0 }, 
919 { 0x098A, 0x0DAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
920 { 0x0990, 0x002B, WORD_LEN, 0 }, 
921 { 0x0992, 0x30ED, WORD_LEN, 0 }, 
922 { 0x0994, 0x0ACC, WORD_LEN, 0 }, 
923 { 0x0996, 0x3400, WORD_LEN, 0 }, 
924 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
925 { 0x099A, 0x0034, WORD_LEN, 0 }, 
926 { 0x099C, 0xBD6F, WORD_LEN, 0 }, 
927 { 0x099E, 0xD184, WORD_LEN, 0 }, 
928 { 0x098A, 0x0DBB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
929 { 0x0990, 0x0330, WORD_LEN, 0 }, 
930 { 0x0992, 0xED07, WORD_LEN, 0 }, 
931 { 0x0994, 0xA60C, WORD_LEN, 0 }, 
932 { 0x0996, 0x4848, WORD_LEN, 0 }, 
933 { 0x0998, 0x5FED, WORD_LEN, 0 }, 
934 { 0x099A, 0x05EC, WORD_LEN, 0 }, 
935 { 0x099C, 0x07EA, WORD_LEN, 0 }, 
936 { 0x099E, 0x06AA, WORD_LEN, 0 }, 
937 { 0x098A, 0x0DCB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
938 { 0x0990, 0x0531, WORD_LEN, 0 }, 
939 { 0x0992, 0xBD70, WORD_LEN, 0 }, 
940 { 0x0994, 0x21DE, WORD_LEN, 0 }, 
941 { 0x0996, 0x1F1F, WORD_LEN, 0 }, 
942 { 0x0998, 0x8E01, WORD_LEN, 0 }, 
943 { 0x099A, 0x08EC, WORD_LEN, 0 }, 
944 { 0x099C, 0x9B05, WORD_LEN, 0 }, 
945 { 0x099E, 0x30ED, WORD_LEN, 0 }, 
946 { 0x098A, 0x0DDB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
947 { 0x0990, 0x0820, WORD_LEN, 0 }, 
948 { 0x0992, 0x3BDE, WORD_LEN, 0 }, 
949 { 0x0994, 0x1FEC, WORD_LEN, 0 }, 
950 { 0x0996, 0x0783, WORD_LEN, 0 }, 
951 { 0x0998, 0x0040, WORD_LEN, 0 }, 
952 { 0x099A, 0x2628, WORD_LEN, 0 }, 
953 { 0x099C, 0x7F30, WORD_LEN, 0 }, 
954 { 0x099E, 0xC4CC, WORD_LEN, 0 }, 
955 { 0x098A, 0x0DEB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
956 { 0x0990, 0x3C68, WORD_LEN, 0 }, 
957 { 0x0992, 0xBD6F, WORD_LEN, 0 }, 
958 { 0x0994, 0xD1FD, WORD_LEN, 0 }, 
959 { 0x0996, 0x30C5, WORD_LEN, 0 }, 
960 { 0x0998, 0xCC01, WORD_LEN, 0 }, 
961 { 0x099A, 0xF4FD, WORD_LEN, 0 }, 
962 { 0x099C, 0x30C7, WORD_LEN, 0 }, 
963 { 0x099E, 0xC640, WORD_LEN, 0 }, 
964 { 0x098A, 0x0DFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
965 { 0x0990, 0xF730, WORD_LEN, 0 }, 
966 { 0x0992, 0xC4CC, WORD_LEN, 0 }, 
967 { 0x0994, 0x0190, WORD_LEN, 0 }, 
968 { 0x0996, 0xFD30, WORD_LEN, 0 }, 
969 { 0x0998, 0xC501, WORD_LEN, 0 }, 
970 { 0x099A, 0x0101, WORD_LEN, 0 }, 
971 { 0x099C, 0xFC30, WORD_LEN, 0 }, 
972 { 0x099E, 0xC230, WORD_LEN, 0 }, 
973 { 0x098A, 0x0E0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
974 { 0x0990, 0xED08, WORD_LEN, 0 }, 
975 { 0x0992, 0x200A, WORD_LEN, 0 }, 
976 { 0x0994, 0xCC3C, WORD_LEN, 0 }, 
977 { 0x0996, 0x68BD, WORD_LEN, 0 }, 
978 { 0x0998, 0x6FD1, WORD_LEN, 0 }, 
979 { 0x099A, 0x0530, WORD_LEN, 0 }, 
980 { 0x099C, 0xED08, WORD_LEN, 0 }, 
981 { 0x099E, 0xCC34, WORD_LEN, 0 }, 
982 { 0x098A, 0x0E1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
983 { 0x0990, 0x08ED, WORD_LEN, 0 }, 
984 { 0x0992, 0x00EC, WORD_LEN, 0 }, 
985 { 0x0994, 0x08BD, WORD_LEN, 0 }, 
986 { 0x0996, 0x7021, WORD_LEN, 0 }, 
987 { 0x0998, 0x30C6, WORD_LEN, 0 }, 
988 { 0x099A, 0x0C3A, WORD_LEN, 0 }, 
989 { 0x099C, 0x3539, WORD_LEN, 0 }, 
990 { 0x099E, 0x373C, WORD_LEN, 0 }, 
991 { 0x098A, 0x0E2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
992 { 0x0990, 0x3C3C, WORD_LEN, 0 }, 
993 { 0x0992, 0x34DE, WORD_LEN, 0 }, 
994 { 0x0994, 0x2FEE, WORD_LEN, 0 }, 
995 { 0x0996, 0x0EAD, WORD_LEN, 0 }, 
996 { 0x0998, 0x007D, WORD_LEN, 0 }, 
997 { 0x099A, 0x13EF, WORD_LEN, 0 }, 
998 { 0x099C, 0x277C, WORD_LEN, 0 }, 
999 { 0x099E, 0xCE13, WORD_LEN, 0 }, 
1000 { 0x098A, 0x0E3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1001 { 0x0990, 0xE01E, WORD_LEN, 0 }, 
1002 { 0x0992, 0x0510, WORD_LEN, 0 }, 
1003 { 0x0994, 0x60E6, WORD_LEN, 0 }, 
1004 { 0x0996, 0x0E4F, WORD_LEN, 0 }, 
1005 { 0x0998, 0xC313, WORD_LEN, 0 }, 
1006 { 0x099A, 0xF08F, WORD_LEN, 0 }, 
1007 { 0x099C, 0xE600, WORD_LEN, 0 }, 
1008 { 0x099E, 0x30E1, WORD_LEN, 0 }, 
1009 { 0x098A, 0x0E4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1010 { 0x0990, 0x0722, WORD_LEN, 0 }, 
1011 { 0x0992, 0x16F6, WORD_LEN, 0 }, 
1012 { 0x0994, 0x13EE, WORD_LEN, 0 }, 
1013 { 0x0996, 0x4FC3, WORD_LEN, 0 }, 
1014 { 0x0998, 0x13F3, WORD_LEN, 0 }, 
1015 { 0x099A, 0x8FE6, WORD_LEN, 0 }, 
1016 { 0x099C, 0x0030, WORD_LEN, 0 }, 
1017 { 0x099E, 0xE107, WORD_LEN, 0 }, 
1018 { 0x098A, 0x0E5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1019 { 0x0990, 0x2507, WORD_LEN, 0 }, 
1020 { 0x0992, 0xF613, WORD_LEN, 0 }, 
1021 { 0x0994, 0xEEC1, WORD_LEN, 0 }, 
1022 { 0x0996, 0x0325, WORD_LEN, 0 }, 
1023 { 0x0998, 0x3C7F, WORD_LEN, 0 }, 
1024 { 0x099A, 0x13EE, WORD_LEN, 0 }, 
1025 { 0x099C, 0xF613, WORD_LEN, 0 }, 
1026 { 0x099E, 0xEFE7, WORD_LEN, 0 }, 
1027 { 0x098A, 0x0E6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1028 { 0x0990, 0x06CC, WORD_LEN, 0 }, 
1029 { 0x0992, 0x13F0, WORD_LEN, 0 }, 
1030 { 0x0994, 0xED04, WORD_LEN, 0 }, 
1031 { 0x0996, 0xCC13, WORD_LEN, 0 }, 
1032 { 0x0998, 0xF320, WORD_LEN, 0 }, 
1033 { 0x099A, 0x0F7C, WORD_LEN, 0 }, 
1034 { 0x099C, 0x13EE, WORD_LEN, 0 }, 
1035 { 0x099E, 0xEC04, WORD_LEN, 0 }, 
1036 { 0x098A, 0x0E7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1037 { 0x0990, 0xC300, WORD_LEN, 0 }, 
1038 { 0x0992, 0x01ED, WORD_LEN, 0 }, 
1039 { 0x0994, 0x04EC, WORD_LEN, 0 }, 
1040 { 0x0996, 0x02C3, WORD_LEN, 0 }, 
1041 { 0x0998, 0x0001, WORD_LEN, 0 }, 
1042 { 0x099A, 0xED02, WORD_LEN, 0 }, 
1043 { 0x099C, 0xF613, WORD_LEN, 0 }, 
1044 { 0x099E, 0xEEE1, WORD_LEN, 0 }, 
1045 { 0x098A, 0x0E8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1046 { 0x0990, 0x0624, WORD_LEN, 0 }, 
1047 { 0x0992, 0x12EE, WORD_LEN, 0 }, 
1048 { 0x0994, 0x04E6, WORD_LEN, 0 }, 
1049 { 0x0996, 0x0030, WORD_LEN, 0 }, 
1050 { 0x0998, 0xE107, WORD_LEN, 0 }, 
1051 { 0x099A, 0x22DF, WORD_LEN, 0 }, 
1052 { 0x099C, 0xEE02, WORD_LEN, 0 }, 
1053 { 0x099E, 0xE600, WORD_LEN, 0 }, 
1054 { 0x098A, 0x0E9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1055 { 0x0990, 0x30E1, WORD_LEN, 0 }, 
1056 { 0x0992, 0x0725, WORD_LEN, 0 }, 
1057 { 0x0994, 0xD6DE, WORD_LEN, 0 }, 
1058 { 0x0996, 0x49EE, WORD_LEN, 0 }, 
1059 { 0x0998, 0x08AD, WORD_LEN, 0 }, 
1060 { 0x099A, 0x00CC, WORD_LEN, 0 }, 
1061 { 0x099C, 0x13F6, WORD_LEN, 0 }, 
1062 { 0x099E, 0x30ED, WORD_LEN, 0 }, 
1063 { 0x098A, 0x0EAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1064 { 0x0990, 0x00DE, WORD_LEN, 0 }, 
1065 { 0x0992, 0x2FEE, WORD_LEN, 0 }, 
1066 { 0x0994, 0x10CC, WORD_LEN, 0 }, 
1067 { 0x0996, 0x13FA, WORD_LEN, 0 }, 
1068 { 0x0998, 0xAD00, WORD_LEN, 0 }, 
1069 { 0x099A, 0x3838, WORD_LEN, 0 }, 
1070 { 0x099C, 0x3838, WORD_LEN, 0 }, 
1071 { 0x099E, 0x3937, WORD_LEN, 0 }, 
1072 { 0x098A, 0x0EBB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1073 { 0x0990, 0x363C, WORD_LEN, 0 }, 
1074 { 0x0992, 0x3C3C, WORD_LEN, 0 }, 
1075 { 0x0994, 0x5F4F, WORD_LEN, 0 }, 
1076 { 0x0996, 0x30ED, WORD_LEN, 0 }, 
1077 { 0x0998, 0x04EC, WORD_LEN, 0 }, 
1078 { 0x099A, 0x06ED, WORD_LEN, 0 }, 
1079 { 0x099C, 0x008F, WORD_LEN, 0 }, 
1080 { 0x099E, 0xC300, WORD_LEN, 0 }, 
1081 { 0x098A, 0x0ECB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1082 { 0x0990, 0x04BD, WORD_LEN, 0 }, 
1083 { 0x0992, 0x0F43, WORD_LEN, 0 }, 
1084 { 0x0994, 0x30EC, WORD_LEN, 0 }, 
1085 { 0x0996, 0x04BD, WORD_LEN, 0 }, 
1086 { 0x0998, 0x0F76, WORD_LEN, 0 }, 
1087 { 0x099A, 0x30ED, WORD_LEN, 0 }, 
1088 { 0x099C, 0x0238, WORD_LEN, 0 }, 
1089 { 0x099E, 0x3838, WORD_LEN, 0 }, 
1090 { 0x098A, 0x0EDB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1091 { 0x0990, 0x3839, WORD_LEN, 0 }, 
1092 { 0x0992, 0x373C, WORD_LEN, 0 }, 
1093 { 0x0994, 0x3C3C, WORD_LEN, 0 }, 
1094 { 0x0996, 0x3C30, WORD_LEN, 0 }, 
1095 { 0x0998, 0xE608, WORD_LEN, 0 }, 
1096 { 0x099A, 0x2712, WORD_LEN, 0 }, 
1097 { 0x099C, 0xC101, WORD_LEN, 0 }, 
1098 { 0x099E, 0x2713, WORD_LEN, 0 }, 
1099 { 0x098A, 0x0EEB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1100 { 0x0990, 0xC102, WORD_LEN, 0 }, 
1101 { 0x0992, 0x2714, WORD_LEN, 0 }, 
1102 { 0x0994, 0xC103, WORD_LEN, 0 }, 
1103 { 0x0996, 0x2715, WORD_LEN, 0 }, 
1104 { 0x0998, 0xC104, WORD_LEN, 0 }, 
1105 { 0x099A, 0x2716, WORD_LEN, 0 }, 
1106 { 0x099C, 0x2019, WORD_LEN, 0 }, 
1107 { 0x099E, 0xCC30, WORD_LEN, 0 }, 
1108 { 0x098A, 0x0EFB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1109 { 0x0990, 0x5E20, WORD_LEN, 0 }, 
1110 { 0x0992, 0x12CC, WORD_LEN, 0 }, 
1111 { 0x0994, 0x305A, WORD_LEN, 0 }, 
1112 { 0x0996, 0x200D, WORD_LEN, 0 }, 
1113 { 0x0998, 0xCC30, WORD_LEN, 0 }, 
1114 { 0x099A, 0x5620, WORD_LEN, 0 }, 
1115 { 0x099C, 0x08CC, WORD_LEN, 0 }, 
1116 { 0x099E, 0x305C, WORD_LEN, 0 }, 
1117 { 0x098A, 0x0F0B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1118 { 0x0990, 0x2003, WORD_LEN, 0 }, 
1119 { 0x0992, 0xCC30, WORD_LEN, 0 }, 
1120 { 0x0994, 0x58ED, WORD_LEN, 0 }, 
1121 { 0x0996, 0x065F, WORD_LEN, 0 }, 
1122 { 0x0998, 0x4FED, WORD_LEN, 0 }, 
1123 { 0x099A, 0x04EC, WORD_LEN, 0 }, 
1124 { 0x099C, 0x0BED, WORD_LEN, 0 }, 
1125 { 0x099E, 0x008F, WORD_LEN, 0 }, 
1126 { 0x098A, 0x0F1B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1127 { 0x0990, 0xC300, WORD_LEN, 0 }, 
1128 { 0x0992, 0x04BD, WORD_LEN, 0 }, 
1129 { 0x0994, 0x0F43, WORD_LEN, 0 }, 
1130 { 0x0996, 0x30EC, WORD_LEN, 0 }, 
1131 { 0x0998, 0x048A, WORD_LEN, 0 }, 
1132 { 0x099A, 0x02ED, WORD_LEN, 0 }, 
1133 { 0x099C, 0x02EC, WORD_LEN, 0 }, 
1134 { 0x099E, 0x06ED, WORD_LEN, 0 }, 
1135 { 0x098A, 0x0F2B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1136 { 0x0990, 0x008F, WORD_LEN, 0 }, 
1137 { 0x0992, 0xC300, WORD_LEN, 0 }, 
1138 { 0x0994, 0x02DE, WORD_LEN, 0 }, 
1139 { 0x0996, 0x0EAD, WORD_LEN, 0 }, 
1140 { 0x0998, 0x0030, WORD_LEN, 0 }, 
1141 { 0x099A, 0xEC04, WORD_LEN, 0 }, 
1142 { 0x099C, 0xBD0F, WORD_LEN, 0 }, 
1143 { 0x099E, 0x7630, WORD_LEN, 0 }, 
1144 { 0x098A, 0x0F3B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1145 { 0x0990, 0xED02, WORD_LEN, 0 }, 
1146 { 0x0992, 0x3838, WORD_LEN, 0 }, 
1147 { 0x0994, 0x3838, WORD_LEN, 0 }, 
1148 { 0x0996, 0x3139, WORD_LEN, 0 }, 
1149 { 0x0998, 0x3736, WORD_LEN, 0 }, 
1150 { 0x099A, 0x30EC, WORD_LEN, 0 }, 
1151 { 0x099C, 0x041A, WORD_LEN, 0 }, 
1152 { 0x099E, 0x8300, WORD_LEN, 0 }, 
1153 { 0x098A, 0x0F4B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1154 { 0x0990, 0x4025, WORD_LEN, 0 }, 
1155 { 0x0992, 0x22EC, WORD_LEN, 0 }, 
1156 { 0x0994, 0x041A, WORD_LEN, 0 }, 
1157 { 0x0996, 0x8300, WORD_LEN, 0 }, 
1158 { 0x0998, 0x8024, WORD_LEN, 0 }, 
1159 { 0x099A, 0x0504, WORD_LEN, 0 }, 
1160 { 0x099C, 0xCA40, WORD_LEN, 0 }, 
1161 { 0x099E, 0x2015, WORD_LEN, 0 }, 
1162 { 0x098A, 0x0F5B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1163 { 0x0990, 0xEC04, WORD_LEN, 0 }, 
1164 { 0x0992, 0x1A83, WORD_LEN, 0 }, 
1165 { 0x0994, 0x0100, WORD_LEN, 0 }, 
1166 { 0x0996, 0x2406, WORD_LEN, 0 }, 
1167 { 0x0998, 0x0404, WORD_LEN, 0 }, 
1168 { 0x099A, 0xCA80, WORD_LEN, 0 }, 
1169 { 0x099C, 0x2007, WORD_LEN, 0 }, 
1170 { 0x099E, 0xEC04, WORD_LEN, 0 }, 
1171 { 0x098A, 0x0F6B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1172 { 0x0990, 0x0404, WORD_LEN, 0 }, 
1173 { 0x0992, 0x04CA, WORD_LEN, 0 }, 
1174 { 0x0994, 0xC0EE, WORD_LEN, 0 }, 
1175 { 0x0996, 0x00ED, WORD_LEN, 0 }, 
1176 { 0x0998, 0x0038, WORD_LEN, 0 }, 
1177 { 0x099A, 0x3937, WORD_LEN, 0 }, 
1178 { 0x099C, 0x363C, WORD_LEN, 0 }, 
1179 { 0x099E, 0x301F, WORD_LEN, 0 }, 
1180 { 0x098A, 0x0F7B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1181 { 0x0990, 0x0340, WORD_LEN, 0 }, 
1182 { 0x0992, 0x0E1F, WORD_LEN, 0 }, 
1183 { 0x0994, 0x0380, WORD_LEN, 0 }, 
1184 { 0x0996, 0x0AEC, WORD_LEN, 0 }, 
1185 { 0x0998, 0x02C4, WORD_LEN, 0 }, 
1186 { 0x099A, 0x3F4F, WORD_LEN, 0 }, 
1187 { 0x099C, 0x0505, WORD_LEN, 0 }, 
1188 { 0x099E, 0x0520, WORD_LEN, 0 }, 
1189 { 0x098A, 0x0F8B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1190 { 0x0990, 0x1B1F, WORD_LEN, 0 }, 
1191 { 0x0992, 0x0380, WORD_LEN, 0 }, 
1192 { 0x0994, 0x09EC, WORD_LEN, 0 }, 
1193 { 0x0996, 0x02C4, WORD_LEN, 0 }, 
1194 { 0x0998, 0x3F4F, WORD_LEN, 0 }, 
1195 { 0x099A, 0x0505, WORD_LEN, 0 }, 
1196 { 0x099C, 0x200E, WORD_LEN, 0 }, 
1197 { 0x099E, 0x1F03, WORD_LEN, 0 }, 
1198 { 0x098A, 0x0F9B, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1199 { 0x0990, 0x4008, WORD_LEN, 0 }, 
1200 { 0x0992, 0xEC02, WORD_LEN, 0 }, 
1201 { 0x0994, 0xC43F, WORD_LEN, 0 }, 
1202 { 0x0996, 0x4F05, WORD_LEN, 0 }, 
1203 { 0x0998, 0x2002, WORD_LEN, 0 }, 
1204 { 0x099A, 0xEC02, WORD_LEN, 0 }, 
1205 { 0x099C, 0xED00, WORD_LEN, 0 }, 
1206 { 0x099E, 0x3838, WORD_LEN, 0 }, 
1207 { 0x098A, 0x8FAB, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1208 { 0x0990, 0x0039, WORD_LEN, 0 },        // MCU_DATA_0
1209 { 0x098A, 0x1000, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1210 { 0x0990, 0xCC10, WORD_LEN, 0 }, 
1211 { 0x0992, 0x09BD, WORD_LEN, 0 }, 
1212 { 0x0994, 0x4224, WORD_LEN, 0 }, 
1213 { 0x0996, 0x7E10, WORD_LEN, 0 }, 
1214 { 0x0998, 0x09C6, WORD_LEN, 0 }, 
1215 { 0x099A, 0x01F7, WORD_LEN, 0 }, 
1216 { 0x099C, 0x018A, WORD_LEN, 0 }, 
1217 { 0x099E, 0xC609, WORD_LEN, 0 }, 
1218 { 0x098A, 0x1010, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1219 { 0x0990, 0xF701, WORD_LEN, 0 }, 
1220 { 0x0992, 0x8BDE, WORD_LEN, 0 }, 
1221 { 0x0994, 0x3F18, WORD_LEN, 0 }, 
1222 { 0x0996, 0xCE0B, WORD_LEN, 0 }, 
1223 { 0x0998, 0xF3CC, WORD_LEN, 0 }, 
1224 { 0x099A, 0x0011, WORD_LEN, 0 }, 
1225 { 0x099C, 0xBDD7, WORD_LEN, 0 }, 
1226 { 0x099E, 0x00CC, WORD_LEN, 0 }, 
1227 { 0x098A, 0x1020, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1228 { 0x0990, 0x0BF3, WORD_LEN, 0 }, 
1229 { 0x0992, 0xDD3F, WORD_LEN, 0 }, 
1230 { 0x0994, 0xDE35, WORD_LEN, 0 }, 
1231 { 0x0996, 0x18CE, WORD_LEN, 0 }, 
1232 { 0x0998, 0x0C05, WORD_LEN, 0 }, 
1233 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1234 { 0x099C, 0x3FBD, WORD_LEN, 0 }, 
1235 { 0x099E, 0xD700, WORD_LEN, 0 }, 
1236 { 0x098A, 0x1030, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1237 { 0x0990, 0xCC0C, WORD_LEN, 0 }, 
1238 { 0x0992, 0x05DD, WORD_LEN, 0 }, 
1239 { 0x0994, 0x35DE, WORD_LEN, 0 }, 
1240 { 0x0996, 0x4718, WORD_LEN, 0 }, 
1241 { 0x0998, 0xCE0C, WORD_LEN, 0 }, 
1242 { 0x099A, 0x45CC, WORD_LEN, 0 }, 
1243 { 0x099C, 0x0015, WORD_LEN, 0 }, 
1244 { 0x099E, 0xBDD7, WORD_LEN, 0 }, 
1245 { 0x098A, 0x1040, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1246 { 0x0990, 0x00CC, WORD_LEN, 0 }, 
1247 { 0x0992, 0x0C45, WORD_LEN, 0 }, 
1248 { 0x0994, 0xDD47, WORD_LEN, 0 }, 
1249 { 0x0996, 0xFE00, WORD_LEN, 0 }, 
1250 { 0x0998, 0x3318, WORD_LEN, 0 }, 
1251 { 0x099A, 0xCE0C, WORD_LEN, 0 }, 
1252 { 0x099C, 0x5BCC, WORD_LEN, 0 }, 
1253 { 0x099E, 0x0009, WORD_LEN, 0 }, 
1254 { 0x098A, 0x1050, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1255 { 0x0990, 0xBDD7, WORD_LEN, 0 }, 
1256 { 0x0992, 0x00CC, WORD_LEN, 0 }, 
1257 { 0x0994, 0x0C5B, WORD_LEN, 0 }, 
1258 { 0x0996, 0xFD00, WORD_LEN, 0 }, 
1259 { 0x0998, 0x33DE, WORD_LEN, 0 }, 
1260 { 0x099A, 0x3118, WORD_LEN, 0 }, 
1261 { 0x099C, 0xCE0C, WORD_LEN, 0 }, 
1262 { 0x099E, 0x65CC, WORD_LEN, 0 }, 
1263 { 0x098A, 0x1060, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1264 { 0x0990, 0x0029, WORD_LEN, 0 }, 
1265 { 0x0992, 0xBDD7, WORD_LEN, 0 }, 
1266 { 0x0994, 0x00CC, WORD_LEN, 0 }, 
1267 { 0x0996, 0x0C65, WORD_LEN, 0 }, 
1268 { 0x0998, 0xDD31, WORD_LEN, 0 }, 
1269 { 0x099A, 0xDE39, WORD_LEN, 0 }, 
1270 { 0x099C, 0x18CE, WORD_LEN, 0 }, 
1271 { 0x099E, 0x0C8F, WORD_LEN, 0 }, 
1272 { 0x098A, 0x1070, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1273 { 0x0990, 0xCC00, WORD_LEN, 0 }, 
1274 { 0x0992, 0x23BD, WORD_LEN, 0 }, 
1275 { 0x0994, 0xD700, WORD_LEN, 0 }, 
1276 { 0x0996, 0xCC0C, WORD_LEN, 0 }, 
1277 { 0x0998, 0x8FDD, WORD_LEN, 0 }, 
1278 { 0x099A, 0x39DE, WORD_LEN, 0 }, 
1279 { 0x099C, 0x4918, WORD_LEN, 0 }, 
1280 { 0x099E, 0xCE0C, WORD_LEN, 0 }, 
1281 { 0x098A, 0x1080, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1282 { 0x0990, 0xB3CC, WORD_LEN, 0 }, 
1283 { 0x0992, 0x000D, WORD_LEN, 0 }, 
1284 { 0x0994, 0xBDD7, WORD_LEN, 0 }, 
1285 { 0x0996, 0x00CC, WORD_LEN, 0 }, 
1286 { 0x0998, 0x0CB3, WORD_LEN, 0 }, 
1287 { 0x099A, 0xDD49, WORD_LEN, 0 }, 
1288 { 0x099C, 0xFC04, WORD_LEN, 0 }, 
1289 { 0x099E, 0xC2FD, WORD_LEN, 0 }, 
1290 { 0x098A, 0x1090, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1291 { 0x0990, 0x0BF1, WORD_LEN, 0 }, 
1292 { 0x0992, 0x18FE, WORD_LEN, 0 }, 
1293 { 0x0994, 0x0BF1, WORD_LEN, 0 }, 
1294 { 0x0996, 0xCDEE, WORD_LEN, 0 }, 
1295 { 0x0998, 0x1518, WORD_LEN, 0 }, 
1296 { 0x099A, 0xCE0C, WORD_LEN, 0 }, 
1297 { 0x099C, 0xC1CC, WORD_LEN, 0 }, 
1298 { 0x099E, 0x0029, WORD_LEN, 0 }, 
1299 { 0x098A, 0x10A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1300 { 0x0990, 0xBDD7, WORD_LEN, 0 }, 
1301 { 0x0992, 0x00FE, WORD_LEN, 0 }, 
1302 { 0x0994, 0x0BF1, WORD_LEN, 0 }, 
1303 { 0x0996, 0xCC0C, WORD_LEN, 0 }, 
1304 { 0x0998, 0xC1ED, WORD_LEN, 0 }, 
1305 { 0x099A, 0x15CC, WORD_LEN, 0 }, 
1306 { 0x099C, 0x11A5, WORD_LEN, 0 }, 
1307 { 0x099E, 0xFD0B, WORD_LEN, 0 }, 
1308 { 0x098A, 0x10B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1309 { 0x0990, 0xFFCC, WORD_LEN, 0 }, 
1310 { 0x0992, 0x0CFB, WORD_LEN, 0 }, 
1311 { 0x0994, 0xFD0C, WORD_LEN, 0 }, 
1312 { 0x0996, 0x21CC, WORD_LEN, 0 }, 
1313 { 0x0998, 0x128F, WORD_LEN, 0 }, 
1314 { 0x099A, 0xFD0C, WORD_LEN, 0 }, 
1315 { 0x099C, 0x53CC, WORD_LEN, 0 }, 
1316 { 0x099E, 0x114E, WORD_LEN, 0 }, 
1317 { 0x098A, 0x10C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1318 { 0x0990, 0xFD0C, WORD_LEN, 0 }, 
1319 { 0x0992, 0x5DCC, WORD_LEN, 0 }, 
1320 { 0x0994, 0x10E2, WORD_LEN, 0 }, 
1321 { 0x0996, 0xFD0C, WORD_LEN, 0 }, 
1322 { 0x0998, 0x6FCC, WORD_LEN, 0 }, 
1323 { 0x099A, 0x0EDD, WORD_LEN, 0 }, 
1324 { 0x099C, 0xFD0C, WORD_LEN, 0 }, 
1325 { 0x099E, 0xD7CC, WORD_LEN, 0 }, 
1326 { 0x098A, 0x10D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1327 { 0x0990, 0x0EBA, WORD_LEN, 0 }, 
1328 { 0x0992, 0xFD0C, WORD_LEN, 0 }, 
1329 { 0x0994, 0xE9CC, WORD_LEN, 0 }, 
1330 { 0x0996, 0x1350, WORD_LEN, 0 }, 
1331 { 0x0998, 0xFD0C, WORD_LEN, 0 }, 
1332 { 0x099A, 0x9BCC, WORD_LEN, 0 }, 
1333 { 0x099C, 0x0E29, WORD_LEN, 0 }, 
1334 { 0x099E, 0xFD0C, WORD_LEN, 0 }, 
1335 { 0x098A, 0x10E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1336 { 0x0990, 0xBF39, WORD_LEN, 0 }, 
1337 { 0x0992, 0x373C, WORD_LEN, 0 }, 
1338 { 0x0994, 0x3CDE, WORD_LEN, 0 }, 
1339 { 0x0996, 0x1DEC, WORD_LEN, 0 }, 
1340 { 0x0998, 0x0C5F, WORD_LEN, 0 }, 
1341 { 0x099A, 0x8402, WORD_LEN, 0 }, 
1342 { 0x099C, 0x4416, WORD_LEN, 0 }, 
1343 { 0x099E, 0x4FF7, WORD_LEN, 0 }, 
1344 { 0x098A, 0x10F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1345 { 0x0990, 0x0CEB, WORD_LEN, 0 }, 
1346 { 0x0992, 0xE60B, WORD_LEN, 0 }, 
1347 { 0x0994, 0xC407, WORD_LEN, 0 }, 
1348 { 0x0996, 0xF70C, WORD_LEN, 0 }, 
1349 { 0x0998, 0xEC7F, WORD_LEN, 0 }, 
1350 { 0x099A, 0x30C4, WORD_LEN, 0 }, 
1351 { 0x099C, 0xEC25, WORD_LEN, 0 }, 
1352 { 0x099E, 0xFD30, WORD_LEN, 0 }, 
1353 { 0x098A, 0x1100, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1354 { 0x0990, 0xC5FC, WORD_LEN, 0 }, 
1355 { 0x0992, 0x06D6, WORD_LEN, 0 }, 
1356 { 0x0994, 0xFD30, WORD_LEN, 0 }, 
1357 { 0x0996, 0xC701, WORD_LEN, 0 }, 
1358 { 0x0998, 0xFC30, WORD_LEN, 0 }, 
1359 { 0x099A, 0xC0FD, WORD_LEN, 0 }, 
1360 { 0x099C, 0x0BED, WORD_LEN, 0 }, 
1361 { 0x099E, 0xFC30, WORD_LEN, 0 }, 
1362 { 0x098A, 0x1110, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1363 { 0x0990, 0xC2FD, WORD_LEN, 0 }, 
1364 { 0x0992, 0x0BEF, WORD_LEN, 0 }, 
1365 { 0x0994, 0xFC04, WORD_LEN, 0 }, 
1366 { 0x0996, 0xC283, WORD_LEN, 0 }, 
1367 { 0x0998, 0xFFFF, WORD_LEN, 0 }, 
1368 { 0x099A, 0x2728, WORD_LEN, 0 }, 
1369 { 0x099C, 0xDE06, WORD_LEN, 0 }, 
1370 { 0x099E, 0xEC22, WORD_LEN, 0 }, 
1371 { 0x098A, 0x1120, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1372 { 0x0990, 0x8322, WORD_LEN, 0 }, 
1373 { 0x0992, 0x0026, WORD_LEN, 0 }, 
1374 { 0x0994, 0x1FCC, WORD_LEN, 0 }, 
1375 { 0x0996, 0x3064, WORD_LEN, 0 }, 
1376 { 0x0998, 0x30ED, WORD_LEN, 0 }, 
1377 { 0x099A, 0x008F, WORD_LEN, 0 }, 
1378 { 0x099C, 0xC300, WORD_LEN, 0 }, 
1379 { 0x099E, 0x02DE, WORD_LEN, 0 }, 
1380 { 0x098A, 0x1130, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1381 { 0x0990, 0x0CAD, WORD_LEN, 0 }, 
1382 { 0x0992, 0x0030, WORD_LEN, 0 }, 
1383 { 0x0994, 0x1D02, WORD_LEN, 0 },
1384 { 0x0996, 0x01CC, WORD_LEN, 0 }, 
1385 { 0x0998, 0x3064, WORD_LEN, 0 },
1386 { 0x099A, 0xED00, WORD_LEN, 0 }, 
1387 { 0x099C, 0x8FC3, WORD_LEN, 0 }, 
1388 { 0x099E, 0x0002, WORD_LEN, 0 }, 
1389 { 0x098A, 0x1140, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1390 { 0x0990, 0xDE0E, WORD_LEN, 0 }, 
1391 { 0x0992, 0xAD00, WORD_LEN, 0 }, 
1392 { 0x0994, 0x30E6, WORD_LEN, 0 }, 
1393 { 0x0996, 0x04BD, WORD_LEN, 0 }, 
1394 { 0x0998, 0x5203, WORD_LEN, 0 }, 
1395 { 0x099A, 0x3838, WORD_LEN, 0 }, 
1396 { 0x099C, 0x3139, WORD_LEN, 0 }, 
1397 { 0x099E, 0x3C3C, WORD_LEN, 0 }, 
1398 { 0x098A, 0x1150, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1399 { 0x0990, 0x3C21, WORD_LEN, 0 }, 
1400 { 0x0992, 0x01CC, WORD_LEN, 0 }, 
1401 { 0x0994, 0x0018, WORD_LEN, 0 }, 
1402 { 0x0996, 0xBD6F, WORD_LEN, 0 }, 
1403 { 0x0998, 0xD1C5, WORD_LEN, 0 }, 
1404 { 0x099A, 0x0426, WORD_LEN, 0 }, 
1405 { 0x099C, 0xF5DC, WORD_LEN, 0 }, 
1406 { 0x099E, 0x2530, WORD_LEN, 0 }, 
1407 { 0x098A, 0x1160, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1408 { 0x0990, 0xED04, WORD_LEN, 0 }, 
1409 { 0x0992, 0x2012, WORD_LEN, 0 }, 
1410 { 0x0994, 0xEE04, WORD_LEN, 0 }, 
1411 { 0x0996, 0x3C18, WORD_LEN, 0 }, 
1412 { 0x0998, 0x38E6, WORD_LEN, 0 }, 
1413 { 0x099A, 0x2118, WORD_LEN, 0 }, 
1414 { 0x099C, 0xE7BE, WORD_LEN, 0 }, 
1415 { 0x099E, 0x30EE, WORD_LEN, 0 }, 
1416 { 0x098A, 0x1170, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1417 { 0x0990, 0x04EC, WORD_LEN, 0 }, 
1418 { 0x0992, 0x1D30, WORD_LEN, 0 }, 
1419 { 0x0994, 0xED04, WORD_LEN, 0 }, 
1420 { 0x0996, 0xEC04, WORD_LEN, 0 }, 
1421 { 0x0998, 0x26EA, WORD_LEN, 0 }, 
1422 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1423 { 0x099C, 0x1AED, WORD_LEN, 0 }, 
1424 { 0x099E, 0x02CC, WORD_LEN, 0 }, 
1425 { 0x098A, 0x1180, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1426 { 0x0990, 0xFBFF, WORD_LEN, 0 }, 
1427 { 0x0992, 0xED00, WORD_LEN, 0 }, 
1428 { 0x0994, 0xCC04, WORD_LEN, 0 }, 
1429 { 0x0996, 0x00BD, WORD_LEN, 0 }, 
1430 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1431 { 0x099A, 0xCC00, WORD_LEN, 0 }, 
1432 { 0x099C, 0x1A30, WORD_LEN, 0 }, 
1433 { 0x099E, 0xED02, WORD_LEN, 0 }, 
1434 { 0x098A, 0x1190, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1435 { 0x0990, 0xCCFB, WORD_LEN, 0 }, 
1436 { 0x0992, 0xFFED, WORD_LEN, 0 }, 
1437 { 0x0994, 0x005F, WORD_LEN, 0 }, 
1438 { 0x0996, 0x4FBD, WORD_LEN, 0 }, 
1439 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1440 { 0x099A, 0x5FBD, WORD_LEN, 0 }, 
1441 { 0x099C, 0x5B17, WORD_LEN, 0 }, 
1442 { 0x099E, 0xBD55, WORD_LEN, 0 }, 
1443 { 0x098A, 0x11A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1444 { 0x0990, 0x8B38, WORD_LEN, 0 }, 
1445 { 0x0992, 0x3838, WORD_LEN, 0 }, 
1446 { 0x0994, 0x393C, WORD_LEN, 0 }, 
1447 { 0x0996, 0x3CC6, WORD_LEN, 0 }, 
1448 { 0x0998, 0x40F7, WORD_LEN, 0 }, 
1449 { 0x099A, 0x30C4, WORD_LEN, 0 }, 
1450 { 0x099C, 0xFC0B, WORD_LEN, 0 }, 
1451 { 0x099E, 0xEDFD, WORD_LEN, 0 }, 
1452 { 0x098A, 0x11B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1453 { 0x0990, 0x30C0, WORD_LEN, 0 }, 
1454 { 0x0992, 0xFC0B, WORD_LEN, 0 }, 
1455 { 0x0994, 0xEFFD, WORD_LEN, 0 }, 
1456 { 0x0996, 0x30C2, WORD_LEN, 0 }, 
1457 { 0x0998, 0xDE1D, WORD_LEN, 0 }, 
1458 { 0x099A, 0xEC25, WORD_LEN, 0 }, 
1459 { 0x099C, 0xFD30, WORD_LEN, 0 }, 
1460 { 0x099E, 0xC501, WORD_LEN, 0 }, 
1461 { 0x098A, 0x11C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1462 { 0x0990, 0x0101, WORD_LEN, 0 }, 
1463 { 0x0992, 0xFC30, WORD_LEN, 0 }, 
1464 { 0x0994, 0xC2FD, WORD_LEN, 0 }, 
1465 { 0x0996, 0x06D6, WORD_LEN, 0 }, 
1466 { 0x0998, 0xEC0C, WORD_LEN, 0 }, 
1467 { 0x099A, 0x5F84, WORD_LEN, 0 }, 
1468 { 0x099C, 0x0244, WORD_LEN, 0 }, 
1469 { 0x099E, 0x164F, WORD_LEN, 0 }, 
1470 { 0x098A, 0x11D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1471 { 0x0990, 0x30E7, WORD_LEN, 0 }, 
1472 { 0x0992, 0x03F1, WORD_LEN, 0 }, 
1473 { 0x0994, 0x0CEB, WORD_LEN, 0 }, 
1474 { 0x0996, 0x2715, WORD_LEN, 0 }, 
1475 { 0x0998, 0xF10C, WORD_LEN, 0 }, 
1476 { 0x099A, 0xEB23, WORD_LEN, 0 }, 
1477 { 0x099C, 0x09FC, WORD_LEN, 0 }, 
1478 { 0x099E, 0x06D6, WORD_LEN, 0 }, 
1479 { 0x098A, 0x11E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1480 { 0x0990, 0x04FD, WORD_LEN, 0 }, 
1481 { 0x0992, 0x06D6, WORD_LEN, 0 }, 
1482 { 0x0994, 0x2007, WORD_LEN, 0 }, 
1483 { 0x0996, 0xFC06, WORD_LEN, 0 }, 
1484 { 0x0998, 0xD605, WORD_LEN, 0 },
1485 { 0x099A, 0xFD06, WORD_LEN, 0 }, 
1486 { 0x099C, 0xD6DE, WORD_LEN, 0 }, 
1487 { 0x099E, 0x1DE6, WORD_LEN, 0 }, 
1488 { 0x098A, 0x11F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1489 { 0x0990, 0x0BC4, WORD_LEN, 0 }, 
1490 { 0x0992, 0x0730, WORD_LEN, 0 }, 
1491 { 0x0994, 0xE702, WORD_LEN, 0 }, 
1492 { 0x0996, 0xF10C, WORD_LEN, 0 }, 
1493 { 0x0998, 0xEC27, WORD_LEN, 0 }, 
1494 { 0x099A, 0x2C7D, WORD_LEN, 0 }, 
1495 { 0x099C, 0x0CEC, WORD_LEN, 0 }, 
1496 { 0x099E, 0x2727, WORD_LEN, 0 }, 
1497 { 0x098A, 0x1200, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1498 { 0x0990, 0x5D27, WORD_LEN, 0 }, 
1499 { 0x0992, 0x247F, WORD_LEN, 0 }, 
1500 { 0x0994, 0x30C4, WORD_LEN, 0 }, 
1501 { 0x0996, 0xFC06, WORD_LEN, 0 }, 
1502 { 0x0998, 0xD6FD, WORD_LEN, 0 }, 
1503 { 0x099A, 0x30C5, WORD_LEN, 0 }, 
1504 { 0x099C, 0xF60C, WORD_LEN, 0 }, 
1505 { 0x099E, 0xEC4F, WORD_LEN, 0 }, 
1506 { 0x098A, 0x1210, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1507 { 0x0990, 0xFD30, WORD_LEN, 0 }, 
1508 { 0x0992, 0xC7C6, WORD_LEN, 0 }, 
1509 { 0x0994, 0x40F7, WORD_LEN, 0 }, 
1510 { 0x0996, 0x30C4, WORD_LEN, 0 }, 
1511 { 0x0998, 0xE602, WORD_LEN, 0 }, 
1512 { 0x099A, 0x4FFD, WORD_LEN, 0 }, 
1513 { 0x099C, 0x30C5, WORD_LEN, 0 }, 
1514 { 0x099E, 0x0101, WORD_LEN, 0 }, 
1515 { 0x098A, 0x1220, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1516 { 0x0990, 0x01FC, WORD_LEN, 0 }, 
1517 { 0x0992, 0x30C2, WORD_LEN, 0 }, 
1518 { 0x0994, 0xFD06, WORD_LEN, 0 }, 
1519 { 0x0996, 0xD67D, WORD_LEN, 0 }, 
1520 { 0x0998, 0x06CB, WORD_LEN, 0 }, 
1521 { 0x099A, 0x272E, WORD_LEN, 0 }, 
1522 { 0x099C, 0xC640, WORD_LEN, 0 }, 
1523 { 0x099E, 0xF730, WORD_LEN, 0 }, 
1524 { 0x098A, 0x1230, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1525 { 0x0990, 0xC4FC, WORD_LEN, 0 }, 
1526 { 0x0992, 0x06C1, WORD_LEN, 0 }, 
1527 { 0x0994, 0x04F3, WORD_LEN, 0 }, 
1528 { 0x0996, 0x06D6, WORD_LEN, 0 }, 
1529 { 0x0998, 0xED00, WORD_LEN, 0 }, 
1530 { 0x099A, 0x5F6D, WORD_LEN, 0 }, 
1531 { 0x099C, 0x002A, WORD_LEN, 0 }, 
1532 { 0x099E, 0x0153, WORD_LEN, 0 }, 
1533 { 0x098A, 0x1240, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1534 { 0x0990, 0x17FD, WORD_LEN, 0 }, 
1535 { 0x0992, 0x30C0, WORD_LEN, 0 }, 
1536 { 0x0994, 0xEC00, WORD_LEN, 0 }, 
1537 { 0x0996, 0xFD30, WORD_LEN, 0 }, 
1538 { 0x0998, 0xC2FC, WORD_LEN, 0 }, 
1539 { 0x099A, 0x06C1, WORD_LEN, 0 }, 
1540 { 0x099C, 0xFD30, WORD_LEN, 0 }, 
1541 { 0x099E, 0xC501, WORD_LEN, 0 }, 
1542 { 0x098A, 0x1250, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1543 { 0x0990, 0x0101, WORD_LEN, 0 }, 
1544 { 0x0992, 0xFC30, WORD_LEN, 0 }, 
1545 { 0x0994, 0xC2FD, WORD_LEN, 0 }, 
1546 { 0x0996, 0x06C7, WORD_LEN, 0 }, 
1547 { 0x0998, 0x2022, WORD_LEN, 0 }, 
1548 { 0x099A, 0x7F30, WORD_LEN, 0 }, 
1549 { 0x099C, 0xC4DE, WORD_LEN, 0 }, 
1550 { 0x099E, 0x1DEC, WORD_LEN, 0 }, 
1551 { 0x098A, 0x1260, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1552 { 0x0990, 0x25FD, WORD_LEN, 0 }, 
1553 { 0x0992, 0x30C5, WORD_LEN, 0 }, 
1554 { 0x0994, 0xFC06, WORD_LEN, 0 }, 
1555 { 0x0996, 0xD6FD, WORD_LEN, 0 }, 
1556 { 0x0998, 0x30C7, WORD_LEN, 0 }, 
1557 { 0x099A, 0x01FC, WORD_LEN, 0 }, 
1558 { 0x099C, 0x30C0, WORD_LEN, 0 }, 
1559 { 0x099E, 0xFD06, WORD_LEN, 0 }, 
1560 { 0x098A, 0x1270, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1561 { 0x0990, 0xD0FC, WORD_LEN, 0 }, 
1562 { 0x0992, 0x30C2, WORD_LEN, 0 }, 
1563 { 0x0994, 0xFD06, WORD_LEN, 0 }, 
1564 { 0x0996, 0xD2EC, WORD_LEN, 0 }, 
1565 { 0x0998, 0x25FD, WORD_LEN, 0 }, 
1566 { 0x099A, 0x06C3, WORD_LEN, 0 }, 
1567 { 0x099C, 0xBD95, WORD_LEN, 0 }, 
1568 { 0x099E, 0x3CDE, WORD_LEN, 0 }, 
1569 { 0x098A, 0x1280, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1570 { 0x0990, 0x3FEE, WORD_LEN, 0 }, 
1571 { 0x0992, 0x10AD, WORD_LEN, 0 }, 
1572 { 0x0994, 0x00DE, WORD_LEN, 0 }, 
1573 { 0x0996, 0x1DFC, WORD_LEN, 0 }, 
1574 { 0x0998, 0x06CC, WORD_LEN, 0 }, 
1575 { 0x099A, 0xED3E, WORD_LEN, 0 }, 
1576 { 0x099C, 0x3838, WORD_LEN, 0 }, 
1577 { 0x099E, 0x3930, WORD_LEN, 0 }, 
1578 { 0x098A, 0x1290, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1579 { 0x0990, 0x8FC3, WORD_LEN, 0 }, 
1580 { 0x0992, 0xFFEC, WORD_LEN, 0 }, 
1581 { 0x0994, 0x8F35, WORD_LEN, 0 }, 
1582 { 0x0996, 0xBDAD, WORD_LEN, 0 }, 
1583 { 0x0998, 0x15DE, WORD_LEN, 0 }, 
1584 { 0x099A, 0x198F, WORD_LEN, 0 }, 
1585 { 0x099C, 0xC301, WORD_LEN, 0 }, 
1586 { 0x099E, 0x4B8F, WORD_LEN, 0 }, 
1587 { 0x098A, 0x12A0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1588 { 0x0990, 0xEC00, WORD_LEN, 0 }, 
1589 { 0x0992, 0xFD05, WORD_LEN, 0 }, 
1590 { 0x0994, 0x0EEC, WORD_LEN, 0 }, 
1591 { 0x0996, 0x02FD, WORD_LEN, 0 }, 
1592 { 0x0998, 0x0510, WORD_LEN, 0 }, 
1593 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1594 { 0x099C, 0xFFCB, WORD_LEN, 0 }, 
1595 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1596 { 0x098A, 0x12B0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1597 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1598 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1599 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1600 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1601 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1602 { 0x099A, 0x055B, WORD_LEN, 0 }, 
1603 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1604 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1605 { 0x098A, 0x12C0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1606 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1607 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1608 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1609 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1610 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1611 { 0x099A, 0xED04, WORD_LEN, 0 }, 
1612 { 0x099C, 0xDE1F, WORD_LEN, 0 }, 
1613 { 0x099E, 0xEC6B, WORD_LEN, 0 }, 
1614 { 0x098A, 0x12D0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1615 { 0x0990, 0xFD05, WORD_LEN, 0 }, 
1616 { 0x0992, 0x0EEC, WORD_LEN, 0 }, 
1617 { 0x0994, 0x6DFD, WORD_LEN, 0 }, 
1618 { 0x0996, 0x0510, WORD_LEN, 0 }, 
1619 { 0x0998, 0xDE19, WORD_LEN, 0 }, 
1620 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1621 { 0x099C, 0x0117, WORD_LEN, 0 }, 
1622 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1623 { 0x098A, 0x12E0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1624 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1625 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1626 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1627 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1628 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1629 { 0x099A, 0x0559, WORD_LEN, 0 }, 
1630 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1631 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1632 { 0x098A, 0x12F0, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1633 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1634 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1635 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1636 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1637 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1638 { 0x099A, 0xED06, WORD_LEN, 0 }, 
1639 { 0x099C, 0xDE1F, WORD_LEN, 0 }, 
1640 { 0x099E, 0xEC6B, WORD_LEN, 0 }, 
1641 { 0x098A, 0x1300, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1642 { 0x0990, 0xFD05, WORD_LEN, 0 }, 
1643 { 0x0992, 0x0EEC, WORD_LEN, 0 }, 
1644 { 0x0994, 0x6DFD, WORD_LEN, 0 }, 
1645 { 0x0996, 0x0510, WORD_LEN, 0 }, 
1646 { 0x0998, 0xDE19, WORD_LEN, 0 }, 
1647 { 0x099A, 0x8FC3, WORD_LEN, 0 }, 
1648 { 0x099C, 0x0118, WORD_LEN, 0 }, 
1649 { 0x099E, 0x8FE6, WORD_LEN, 0 }, 
1650 { 0x098A, 0x1310, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1651 { 0x0990, 0x00F7, WORD_LEN, 0 }, 
1652 { 0x0992, 0x0514, WORD_LEN, 0 }, 
1653 { 0x0994, 0xE603, WORD_LEN, 0 }, 
1654 { 0x0996, 0xF705, WORD_LEN, 0 }, 
1655 { 0x0998, 0x15FC, WORD_LEN, 0 }, 
1656 { 0x099A, 0x0559, WORD_LEN, 0 }, 
1657 { 0x099C, 0xFD05, WORD_LEN, 0 }, 
1658 { 0x099E, 0x12DE, WORD_LEN, 0 }, 
1659 { 0x098A, 0x1320, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1660 { 0x0990, 0x37EE, WORD_LEN, 0 }, 
1661 { 0x0992, 0x08AD, WORD_LEN, 0 }, 
1662 { 0x0994, 0x00F6, WORD_LEN, 0 }, 
1663 { 0x0996, 0x0516, WORD_LEN, 0 }, 
1664 { 0x0998, 0x4F30, WORD_LEN, 0 }, 
1665 { 0x099A, 0xED08, WORD_LEN, 0 }, 
1666 { 0x099C, 0xCC32, WORD_LEN, 0 }, 
1667 { 0x099E, 0x8EED, WORD_LEN, 0 }, 
1668 { 0x098A, 0x1330, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1669 { 0x0990, 0x00EC, WORD_LEN, 0 }, 
1670 { 0x0992, 0x04BD, WORD_LEN, 0 }, 
1671 { 0x0994, 0x7021, WORD_LEN, 0 }, 
1672 { 0x0996, 0xCC32, WORD_LEN, 0 }, 
1673 { 0x0998, 0x6C30, WORD_LEN, 0 }, 
1674 { 0x099A, 0xED02, WORD_LEN, 0 }, 
1675 { 0x099C, 0xCCF8, WORD_LEN, 0 }, 
1676 { 0x099E, 0x00ED, WORD_LEN, 0 }, 
1677 { 0x098A, 0x1340, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1678 { 0x0990, 0x00A6, WORD_LEN, 0 }, 
1679 { 0x0992, 0x07E3, WORD_LEN, 0 }, 
1680 { 0x0994, 0x0884, WORD_LEN, 0 }, 
1681 { 0x0996, 0x07BD, WORD_LEN, 0 }, 
1682 { 0x0998, 0x706D, WORD_LEN, 0 }, 
1683 { 0x099A, 0x30C6, WORD_LEN, 0 }, 
1684 { 0x099C, 0x143A, WORD_LEN, 0 }, 
1685 { 0x099E, 0x3539, WORD_LEN, 0 }, 
1686 { 0x098A, 0x1350, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1687 { 0x0990, 0x3CBD, WORD_LEN, 0 }, 
1688 { 0x0992, 0x776D, WORD_LEN, 0 }, 
1689 { 0x0994, 0xCC32, WORD_LEN, 0 }, 
1690 { 0x0996, 0x5C30, WORD_LEN, 0 }, 
1691 { 0x0998, 0xED00, WORD_LEN, 0 }, 
1692 { 0x099A, 0xFC13, WORD_LEN, 0 }, 
1693 { 0x099C, 0x8683, WORD_LEN, 0 }, 
1694 { 0x099E, 0x0001, WORD_LEN, 0 }, 
1695 { 0x098A, 0x1360, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1696 { 0x0990, 0xBD70, WORD_LEN, 0 }, 
1697 { 0x0992, 0x21CC, WORD_LEN, 0 }, 
1698 { 0x0994, 0x325E, WORD_LEN, 0 }, 
1699 { 0x0996, 0x30ED, WORD_LEN, 0 }, 
1700 { 0x0998, 0x00FC, WORD_LEN, 0 }, 
1701 { 0x099A, 0x1388, WORD_LEN, 0 }, 
1702 { 0x099C, 0x8300, WORD_LEN, 0 }, 
1703 { 0x099E, 0x01BD, WORD_LEN, 0 }, 
1704 { 0x098A, 0x1370, WORD_LEN, 0 },        // PHYSICAL_ADDR_ACCESS
1705 { 0x0990, 0x7021, WORD_LEN, 0 }, 
1706 { 0x0992, 0x3839, WORD_LEN, 0 }, 
1707 { 0x098E, 0x0010, WORD_LEN, 0 },        // MCU_ADDRESS [MON_ADDR]
1708 { 0x0990, 0x1000, WORD_LEN, 0 },        // MCU_DATA_0
1709 { 0x098E, 0x0003, WORD_LEN, 0 },        // MCU_ADDRESS [MON_ALGO]
1710 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1711         
1712 { SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
1713
1714 { 0x098E, 0x4815, WORD_LEN, 0 },        // MCU_ADDRESS
1715 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1716 { 0x098E, 0x485D, WORD_LEN, 0 },        // MCU_ADDRESS
1717 { 0x0990, 0x0004, WORD_LEN, 0 },        // MCU_DATA_0
1718 { 0x0018, 0x0028, WORD_LEN, 0 },        // STANDBY_CONTROL_AND_STATUS
1719
1720 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1721
1722 //awb   
1723 { 0x098E, 0xAC02, WORD_LEN, 0 },     // MCU_ADDRESS [AWB_MODE]                     
1724 { 0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0                             
1725 { 0x098E, 0x2C03, WORD_LEN, 0 },      // MCU_ADDRESS                            
1726 { 0x0990, 0x01ff, WORD_LEN, 0 },      // MCU_DATA_0                             
1727 { 0x098E, 0x683F, WORD_LEN, 0 },      // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
1728 { 0x0990, 0x01fF, WORD_LEN, 0 },      // MCU_DATA_0                             
1729 { 0x098E, 0x8400, WORD_LEN, 0 },      // MCU_ADDRESS [SEQ_CMD]                  
1730 { 0x0990, 0x0005, WORD_LEN, 0 },      // MCU_DATA_0    
1731     
1732 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1733
1734 //banding AUTO
1735 { 0x098E, 0x6811, WORD_LEN, 0 },             // MCU_ADDRESS [PRI_A_CONFIG_FD_ALGO_RUN]                         
1736 { 0x0990, 0x0003, WORD_LEN, 0 },             // MCU_DATA_0                            
1737 { 0x098E, 0x8400, WORD_LEN, 0 },             // MCU_ADDRESS [SEQ_CMD]                 
1738 { 0x0990, 0x0005, WORD_LEN, 0 },             // MCU_DATA_0   
1739         
1740 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1741
1742 //effect off
1743 { 0x098E, 0xE883, WORD_LEN, 0 },                         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]        
1744 { 0x0990, 0x0000, WORD_LEN, 0 },                         // MCU_DATA_0                                                                   
1745 { 0x098E, 0xEC83, WORD_LEN, 0 },                 // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
1746 { 0x0990, 0x0000, WORD_LEN, 0 },                         // MCU_DATA_0                                  
1747 { 0x098E, 0x8400, WORD_LEN, 0 },                         // MCU_ADDRESS [SEQ_CMD]                       
1748 { 0x0990, 0x0006, WORD_LEN, 0 },                         // MCU_DATA_0     
1749
1750 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
1751
1752 //contrast level=3
1753 { 0x098E, 0xBC0B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]                              
1754 { 0x0990, 0x0000, WORD_LEN, 0 },        // MCU_DATA_0                              
1755 { 0x098E, 0xBC0C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1] 
1756 { 0x0990, 0x000A, WORD_LEN, 0 },        // MCU_DATA_0                              
1757 { 0x098E, 0xBC0D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2] 
1758 { 0x0990, 0x001B, WORD_LEN, 0 },        // MCU_DATA_0                              
1759 { 0x098E, 0xBC0E, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3] 
1760 { 0x0990, 0x0031, WORD_LEN, 0 },        // MCU_DATA_0                              
1761 { 0x098E, 0xBC0F, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4] 
1762 { 0x0990, 0x0052, WORD_LEN, 0 },        // MCU_DATA_0                              
1763 { 0x098E, 0xBC10, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5] 
1764 { 0x0990, 0x006E, WORD_LEN, 0 },        // MCU_DATA_0                              
1765 { 0x098E, 0xBC11, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6] 
1766 { 0x0990, 0x0089, WORD_LEN, 0 },        // MCU_DATA_0                              
1767 { 0x098E, 0xBC12, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7] 
1768 { 0x0990, 0x009F, WORD_LEN, 0 },        // MCU_DATA_0                              
1769 { 0x098E, 0xBC13, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8] 
1770 { 0x0990, 0x00B0, WORD_LEN, 0 },        // MCU_DATA_0                              
1771 { 0x098E, 0xBC14, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9] 
1772 { 0x0990, 0x00BD, WORD_LEN, 0 },        // MCU_DATA_0                              
1773 { 0x098E, 0xBC15, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
1774 { 0x0990, 0x00C9, WORD_LEN, 0 },        // MCU_DATA_0                              
1775 { 0x098E, 0xBC16, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
1776 { 0x0990, 0x00D3, WORD_LEN, 0 },        // MCU_DATA_0                              
1777 { 0x098E, 0xBC17, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
1778 { 0x0990, 0x00DC, WORD_LEN, 0 },        // MCU_DATA_0                              
1779 { 0x098E, 0xBC18, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
1780 { 0x0990, 0x00E3, WORD_LEN, 0 },        // MCU_DATA_0                              
1781 { 0x098E, 0xBC19, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
1782 { 0x0990, 0x00EA, WORD_LEN, 0 },        // MCU_DATA_0                              
1783 { 0x098E, 0xBC1A, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
1784 { 0x0990, 0x00F0, WORD_LEN, 0 },        // MCU_DATA_0                              
1785 { 0x098E, 0xBC1B, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
1786 { 0x0990, 0x00F5, WORD_LEN, 0 },        // MCU_DATA_0                              
1787 { 0x098E, 0xBC1C, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
1788 { 0x0990, 0x00FA, WORD_LEN, 0 },        // MCU_DATA_0                              
1789 { 0x098E, 0xBC1D, WORD_LEN, 0 },        // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
1790 { 0x0990, 0x00FF, WORD_LEN, 0 },        // MCU_DATA_0 
1791 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]                   
1792 { 0x0990, 0x0006, WORD_LEN, 0 },        // MCU_DATA_0
1793
1794 { SEQUENCE_WAIT_MS,200, WORD_LEN, 0},
1795                              
1796 { 0x098E, 0x8400, WORD_LEN, 0 },        // MCU_ADDRESS [SEQ_CMD]                   
1797 { 0x0990, 0x0005, WORD_LEN, 0 },        // MCU_DATA_0  
1798      
1799 #else
1800 {0x001A, 0x0219, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1801 {0x001A, 0x0018, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1802 {0x0014, 0x2425, WORD_LEN, 0 },         // PLL_CONTROL
1803 {0x0014, 0x2425, WORD_LEN, 0 },         // PLL_CONTROL
1804 {0x0014, 0x2145, WORD_LEN, 0 },         // PLL_CONTROL
1805
1806 {0x0010, 0x0110, WORD_LEN, 0 },         // PLL_DIVIDERS//31E
1807 {0x0012, 0x0070, WORD_LEN, 0 },         // PLL_P_DIVIDERS//E0
1808 {0x002A, 0x77BB, WORD_LEN, 0 },         // PLL_P4_P5_P6_DIVIDERS//7EFF
1809 {0x001A, 0x0218, WORD_LEN, 0 },         // RESET_AND_MISC_CONTROL
1810
1811 {0x0014, 0x2545, WORD_LEN, 0 },         // PLL_CONTROL
1812 {0x0014, 0x2547, WORD_LEN, 0 },         // PLL_CONTROL
1813 {0x0014, 0x2447, WORD_LEN, 0 },         // PLL_CONTROL
1814 {0x0014, 0x2047, WORD_LEN, 0 },         // PLL_CONTROL
1815
1816 {SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
1817 //  POLL  PLL_CONTROL::PLL_LOCK =>  0x01
1818 {0x0014, 0x2046, WORD_LEN, 0 },         // PLL_CONTROL
1819 {0x0022, 0x01E0, WORD_LEN, 0 },         // VDD_DIS_COUNTER//208
1820 {0x001E, 0x0777, WORD_LEN, 0 },         // PAD_SLEW_PAD_CONFIG
1821 //{0x001E, 0x0700, WORD_LEN, 0 },       // PAD_SLEW_PAD_CONFIG
1822 {0x0016, 0x0400, WORD_LEN, 0 },         // CLOCKS_CONTROL
1823 {0x001E, 0x0777, WORD_LEN, 0 },         // PAD_SLEW_PAD_CONFIG
1824 //{0x001E, 0x0700, WORD_LEN, 0 },       // PAD_SLEW_PAD_CONFIG
1825 {0x0018, 0x402D, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
1826 {0x0018, 0x402C, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
1827
1828 {0x001e,0x0006, WORD_LEN, 0 },  //adjust slew rate to minimize EMI
1829 {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
1830
1831 //  POLL  STANDBY_CONTROL_AND_STATUS::STANDBY_DONE =>  0x00
1832 //{0x098E, 0x6006, WORD_LEN, 0 },       // MCU_ADDRESS
1833 //{0x0990, 0x007A, WORD_LEN, 0 },       // MCU_DATA_0
1834 {0x098E, 0x6800, WORD_LEN, 0 },         // MCU_ADDRESS
1835 {0x0990, 0x0320, WORD_LEN, 0 },         // MCU_DATA_0
1836 {0x098E, 0x6802, WORD_LEN, 0 },         // MCU_ADDRESS
1837 {0x0990, 0x0258, WORD_LEN, 0 },         // MCU_DATA_0
1838 {0x098E, 0xE88E, WORD_LEN, 0 },         // MCU_ADDRESS
1839 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1840 {0x098E, 0x68A0, WORD_LEN, 0 },         // MCU_ADDRESS
1841 {0x0990, 0x082D, WORD_LEN, 0 },         // MCU_DATA_0
1842 {0x098E, 0x4802, WORD_LEN, 0 },         // MCU_ADDRESS
1843 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1844 {0x098E, 0x4804, WORD_LEN, 0 },         // MCU_ADDRESS
1845 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1846 {0x098E, 0x4806, WORD_LEN, 0 },         // MCU_ADDRESS
1847 {0x0990, 0x060D, WORD_LEN, 0 },         // MCU_DATA_0
1848 {0x098E, 0x4808, WORD_LEN, 0 },         // MCU_ADDRESS
1849 {0x0990, 0x080D, WORD_LEN, 0 },         // MCU_DATA_0
1850 {0x098E, 0x480A, WORD_LEN, 0 },         // MCU_ADDRESS
1851 {0x0990, 0x0111, WORD_LEN, 0 },         // MCU_DATA_0
1852 {0x098E, 0x480C, WORD_LEN, 0 },         // MCU_ADDRESS
1853 {0x0990, 0x046C, WORD_LEN, 0 },         // MCU_DATA_0
1854 {0x098E, 0x480F, WORD_LEN, 0 },         // MCU_ADDRESS
1855 {0x0990, 0x00CC, WORD_LEN, 0 },         // MCU_DATA_0
1856 {0x098E, 0x4811, WORD_LEN, 0 },         // MCU_ADDRESS
1857 {0x0990, 0x0381, WORD_LEN, 0 },         // MCU_DATA_0
1858 {0x098E, 0x4813, WORD_LEN, 0 },         // MCU_ADDRESS
1859 {0x0990, 0x024F, WORD_LEN, 0 },         // MCU_DATA_0
1860 {0x098E, 0x481D, WORD_LEN, 0 },         // MCU_ADDRESS
1861 {0x0990, 0x03BE, WORD_LEN, 0 },         // MCU_DATA_0//35C
1862 {0x098E, 0x481F, WORD_LEN, 0 },         // MCU_ADDRESS
1863 {0x0990, 0x05D0, WORD_LEN, 0 },         // MCU_DATA_0
1864 {0x098E, 0x4825, WORD_LEN, 0 },         // MCU_ADDRESS
1865 {0x0990, 0x07AC, WORD_LEN, 0 },         // MCU_DATA_0//85E
1866 //{0x098E, 0x482B, WORD_LEN, 0 },       // MCU_ADDRESS//
1867 //{0x0990, 0x0408, WORD_LEN, 0 },       // MCU_DATA_0//
1868 //{0x098E, 0x482D, WORD_LEN, 0 },       // MCU_ADDRESS//
1869 //{0x0990, 0x0308, WORD_LEN, 0 },       // MCU_DATA_0//
1870 /*300w capture*/
1871 {0x098E, 0x6C00, WORD_LEN, 0 },         // MCU_ADDRESS
1872 {0x0990, 0x0800, WORD_LEN, 0 },         // MCU_DATA_0
1873 {0x098E, 0x6C02, WORD_LEN, 0 },         // MCU_ADDRESS
1874 {0x0990, 0x0600, WORD_LEN, 0 },         // MCU_DATA_0
1875
1876 {0x098E, 0xEC8E, WORD_LEN, 0 },         // MCU_ADDRESS
1877 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1878 {0x098E, 0x6CA0, WORD_LEN, 0 },         // MCU_ADDRESS
1879 {0x0990, 0x082D, WORD_LEN, 0 },         // MCU_DATA_0
1880 {0x098E, 0x484A, WORD_LEN, 0 },         // MCU_ADDRESS
1881 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
1882 {0x098E, 0x484C, WORD_LEN, 0 },         // MCU_ADDRESS
1883 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
1884 {0x098E, 0x484E, WORD_LEN, 0 },         // MCU_ADDRESS
1885 {0x0990, 0x060B, WORD_LEN, 0 },         // MCU_DATA_0
1886 {0x098E, 0x4850, WORD_LEN, 0 },         // MCU_ADDRESS
1887 {0x0990, 0x080B, WORD_LEN, 0 },         // MCU_DATA_0
1888 {0x098E, 0x4852, WORD_LEN, 0 },         // MCU_ADDRESS
1889 {0x0990, 0x0111, WORD_LEN, 0 },         // MCU_DATA_0
1890 {0x098E, 0x4854, WORD_LEN, 0 },         // MCU_ADDRESS
1891 {0x0990, 0x0024, WORD_LEN, 0 },         // MCU_DATA_0
1892 {0x098E, 0x4857, WORD_LEN, 0 },         // MCU_ADDRESS
1893 {0x0990, 0x008C, WORD_LEN, 0 },         // MCU_DATA_0
1894 {0x098E, 0x4859, WORD_LEN, 0 },         // MCU_ADDRESS
1895 {0x0990, 0x01F1, WORD_LEN, 0 },         // MCU_DATA_0
1896 {0x098E, 0x485B, WORD_LEN, 0 },         // MCU_ADDRESS
1897 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
1898 {0x098E, 0x4865, WORD_LEN, 0 },         // MCU_ADDRESS
1899 {0x0990, 0x067C, WORD_LEN, 0 },         // MCU_DATA_0//687
1900 {0x098E, 0x4867, WORD_LEN, 0 },         // MCU_ADDRESS
1901 {0x0990, 0x0378, WORD_LEN, 0 },         // MCU_DATA_0
1902 {0x098E, 0x486D, WORD_LEN, 0 },         // MCU_ADDRESS
1903 {0x0990, 0x0BAC, WORD_LEN, 0 },         // MCU_DATA_0//103B
1904 {0x098E, 0x4873, WORD_LEN, 0 },         // MCU_ADDRESS
1905 {0x0990, 0x0808, WORD_LEN, 0 },         // MCU_DATA_0
1906 {0x098E, 0x4875, WORD_LEN, 0 },         // MCU_ADDRESS
1907 {0x0990, 0x0608, WORD_LEN, 0 },         // MCU_DATA_0
1908 {0x098E, 0xC8A5, WORD_LEN, 0 },         // MCU_ADDRESS
1909 {0x0990, 0x0021, WORD_LEN, 0 },         // MCU_DATA_0//001E
1910 {0x098E, 0xC8A6, WORD_LEN, 0 },         // MCU_ADDRESS
1911 {0x0990, 0x0023, WORD_LEN, 0 },         // MCU_DATA_0//0020
1912 {0x098E, 0xC8A7, WORD_LEN, 0 },         // MCU_ADDRESS
1913 {0x0990, 0x0027, WORD_LEN, 0 },         // MCU_DATA_0//25
1914 {0x098E, 0xC8A8, WORD_LEN, 0 },         // MCU_ADDRESS
1915 {0x0990, 0x0029, WORD_LEN, 0 },         // MCU_DATA_0//27
1916 {0x098E, 0xC844, WORD_LEN, 0 },         // MCU_ADDRESS
1917 {0x0990, 0x00A3, WORD_LEN, 0 },         // MCU_DATA_0//72
1918 {0x098E, 0xC92F, WORD_LEN, 0 },         // MCU_ADDRESS
1919 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1920 {0x098E, 0xC845, WORD_LEN, 0 },         // MCU_ADDRESS
1921 {0x0990, 0x0088, WORD_LEN, 0 },         // MCU_DATA_0//5F
1922 {0x098E, 0xC92D, WORD_LEN, 0 },         // MCU_ADDRESS
1923 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1924 {0x098E, 0xC88C, WORD_LEN, 0 },         // MCU_ADDRESS
1925 {0x0990, 0x006B, WORD_LEN, 0 },         // MCU_DATA_0//3B
1926 {0x098E, 0xC930, WORD_LEN, 0 },         // MCU_ADDRESS
1927 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1928 {0x098E, 0xC88D, WORD_LEN, 0 },         // MCU_ADDRESS
1929 {0x0990, 0x0059, WORD_LEN, 0 },         // MCU_DATA_0//31
1930 {0x098E, 0xC92E, WORD_LEN, 0 },         // MCU_ADDRESS
1931 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
1932 {0x098E, 0xB825, WORD_LEN, 0 },         // MCU_ADDRESS
1933 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0//0003
1934 {0x098E, 0xA009, WORD_LEN, 0 },         // MCU_ADDRESS
1935 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
1936 {0x098E, 0xA00A, WORD_LEN, 0 },         // MCU_ADDRESS
1937 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
1938 {0x098E, 0xA00C, WORD_LEN, 0 },         // MCU_ADDRESS
1939 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
1940 {0x098E, 0x4846, WORD_LEN, 0 },         // MCU_ADDRESS
1941 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
1942 {0x098E, 0x68AA, WORD_LEN, 0 },         // MCU_ADDRESS
1943 {0x0990, 0x021E, WORD_LEN, 0 },         // MCU_DATA_0//24C
1944 {0x098E, 0x6815, WORD_LEN, 0 },         // MCU_ADDRESS
1945 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0//0008
1946 {0x098E, 0x6817, WORD_LEN, 0 },         // MCU_ADDRESS
1947 {0x0990, 0x0007, WORD_LEN, 0 },         // MCU_DATA_0//0009
1948 {0x098E, 0x682D, WORD_LEN, 0 },         // MCU_ADDRESS
1949 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0//0008
1950 {0x098E, 0x488E, WORD_LEN, 0 },         // MCU_ADDRESS
1951 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
1952 {0x098E, 0x6CAA, WORD_LEN, 0 },         // MCU_ADDRESS
1953 {0x0990, 0x016E, WORD_LEN, 0 },         // MCU_DATA_0//0058
1954 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS
1955 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
1956 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS
1957 {0x0990, 0x0005, WORD_LEN, 0 },         // MCU_DATA_0
1958 {0x3C20, 0x0000, WORD_LEN, 0 },         // TX_SS_CONTROL
1959 /*
1960 {0x364A, 0x7D2F, WORD_LEN, 0 },         // P_R_P0Q0
1961 {0x364C, 0x79EB, WORD_LEN, 0 },         // P_R_P0Q1
1962 {0x364E, 0x18D2, WORD_LEN, 0 },         // P_R_P0Q2
1963 {0x3650, 0x9F8F, WORD_LEN, 0 },         // P_R_P0Q3
1964 {0x3652, 0xA7D2, WORD_LEN, 0 },         // P_R_P0Q4
1965 {0x368A, 0x460C, WORD_LEN, 0 },         // P_R_P1Q0
1966 {0x368C, 0x14F0, WORD_LEN, 0 },         // P_R_P1Q1
1967 {0x368E, 0x946F, WORD_LEN, 0 },         // P_R_P1Q2
1968 {0x3690, 0xC471, WORD_LEN, 0 },         // P_R_P1Q3
1969 {0x3692, 0x04B1, WORD_LEN, 0 },         // P_R_P1Q4
1970 {0x36CA, 0x0433, WORD_LEN, 0 },         // P_R_P2Q0
1971 {0x36CC, 0x680D, WORD_LEN, 0 },         // P_R_P2Q1
1972 {0x36CE, 0xEEF3, WORD_LEN, 0 },         // P_R_P2Q2
1973 {0x36D0, 0x4850, WORD_LEN, 0 },         // P_R_P2Q3
1974 {0x36D2, 0xF233, WORD_LEN, 0 },         // P_R_P2Q4
1975 {0x370A, 0xB2AF, WORD_LEN, 0 },         // P_R_P3Q0
1976 {0x370C, 0x2CF0, WORD_LEN, 0 },         // P_R_P3Q1
1977 {0x370E, 0x3F10, WORD_LEN, 0 },         // P_R_P3Q2
1978 {0x3710, 0xC673, WORD_LEN, 0 },         // P_R_P3Q3
1979 {0x3712, 0xA972, WORD_LEN, 0 },         // P_R_P3Q4
1980 {0x374A, 0x0590, WORD_LEN, 0 },         // P_R_P4Q0
1981 {0x374C, 0xAFB3, WORD_LEN, 0 },         // P_R_P4Q1
1982 {0x374E, 0x93D7, WORD_LEN, 0 },         // P_R_P4Q2
1983 {0x3750, 0x8D12, WORD_LEN, 0 },         // P_R_P4Q3
1984 {0x3752, 0x2539, WORD_LEN, 0 },         // P_R_P4Q4
1985 {0x3640, 0x0350, WORD_LEN, 0 },         // P_G1_P0Q0
1986 {0x3642, 0x322C, WORD_LEN, 0 },         // P_G1_P0Q1
1987 {0x3644, 0x77D1, WORD_LEN, 0 },         // P_G1_P0Q2
1988 {0x3646, 0xA26F, WORD_LEN, 0 },         // P_G1_P0Q3
1989 {0x3648, 0xC872, WORD_LEN, 0 },         // P_G1_P0Q4
1990 {0x3680, 0x0C4C, WORD_LEN, 0 },         // P_G1_P1Q0
1991 {0x3682, 0x9510, WORD_LEN, 0 },         // P_G1_P1Q1
1992 {0x3684, 0x110E, WORD_LEN, 0 },         // P_G1_P1Q2
1993 {0x3686, 0x4331, WORD_LEN, 0 },         // P_G1_P1Q3
1994 {0x3688, 0xC1CF, WORD_LEN, 0 },         // P_G1_P1Q4
1995 {0x36C0, 0x6152, WORD_LEN, 0 },         // P_G1_P2Q0
1996 {0x36C2, 0x038E, WORD_LEN, 0 },         // P_G1_P2Q1
1997 {0x36C4, 0x9AF4, WORD_LEN, 0 },         // P_G1_P2Q2
1998 {0x36C6, 0xE12F, WORD_LEN, 0 },         // P_G1_P2Q3
1999 {0x36C8, 0x09F3, WORD_LEN, 0 },         // P_G1_P2Q4
2000 {0x3700, 0xC5AF, WORD_LEN, 0 },         // P_G1_P3Q0
2001 {0x3702, 0xCA90, WORD_LEN, 0 },         // P_G1_P3Q1
2002 {0x3704, 0x5D0F, WORD_LEN, 0 },         // P_G1_P3Q2
2003 {0x3706, 0x3293, WORD_LEN, 0 },         // P_G1_P3Q3
2004 {0x3708, 0x2B92, WORD_LEN, 0 },         // P_G1_P3Q4
2005 {0x3740, 0xC590, WORD_LEN, 0 },         // P_G1_P4Q0
2006 {0x3742, 0x8133, WORD_LEN, 0 },         // P_G1_P4Q1
2007 {0x3744, 0xE0F6, WORD_LEN, 0 },         // P_G1_P4Q2
2008 {0x3746, 0x0254, WORD_LEN, 0 },         // P_G1_P4Q3
2009 {0x3748, 0x10B9, WORD_LEN, 0 },         // P_G1_P4Q4
2010 {0x3654, 0x7F8F, WORD_LEN, 0 },         // P_B_P0Q0
2011 {0x3656, 0x6F6C, WORD_LEN, 0 },         // P_B_P0Q1
2012 {0x3658, 0x5971, WORD_LEN, 0 },         // P_B_P0Q2
2013 {0x365A, 0x9A0F, WORD_LEN, 0 },         // P_B_P0Q3
2014 {0x365C, 0xA1B2, WORD_LEN, 0 },         // P_B_P0Q4
2015 {0x3694, 0xB00C, WORD_LEN, 0 },         // P_B_P1Q0
2016 {0x3696, 0xEBCF, WORD_LEN, 0 },         // P_B_P1Q1
2017 {0x3698, 0x06AD, WORD_LEN, 0 },         // P_B_P1Q2
2018 {0x369A, 0x4D31, WORD_LEN, 0 },         // P_B_P1Q3
2019 {0x369C, 0x2A4E, WORD_LEN, 0 },         // P_B_P1Q4
2020 {0x36D4, 0x4752, WORD_LEN, 0 },         // P_B_P2Q0
2021 {0x36D6, 0x724D, WORD_LEN, 0 },         // P_B_P2Q1
2022 {0x36D8, 0xAD34, WORD_LEN, 0 },         // P_B_P2Q2
2023 {0x36DA, 0x1350, WORD_LEN, 0 },         // P_B_P2Q3
2024 {0x36DC, 0x4E94, WORD_LEN, 0 },         // P_B_P2Q4
2025 {0x3714, 0xA06E, WORD_LEN, 0 },         // P_B_P3Q0
2026 {0x3716, 0x9152, WORD_LEN, 0 },         // P_B_P3Q1
2027 {0x3718, 0x1F53, WORD_LEN, 0 },         // P_B_P3Q2
2028 {0x371A, 0x3933, WORD_LEN, 0 },         // P_B_P3Q3
2029 {0x371C, 0xBA94, WORD_LEN, 0 },         // P_B_P3Q4
2030 {0x3754, 0x1233, WORD_LEN, 0 },         // P_B_P4Q0
2031 {0x3756, 0xA032, WORD_LEN, 0 },         // P_B_P4Q1
2032 {0x3758, 0xE936, WORD_LEN, 0 },         // P_B_P4Q2
2033 {0x375A, 0xBE34, WORD_LEN, 0 },         // P_B_P4Q3
2034 {0x375C, 0x02D9, WORD_LEN, 0 },         // P_B_P4Q4
2035 {0x365E, 0x7DEF, WORD_LEN, 0 },         // P_G2_P0Q0
2036 {0x3660, 0x434B, WORD_LEN, 0 },         // P_G2_P0Q1
2037 {0x3662, 0x69F1, WORD_LEN, 0 },         // P_G2_P0Q2
2038 {0x3664, 0x8A0F, WORD_LEN, 0 },         // P_G2_P0Q3
2039 {0x3666, 0xBDB2, WORD_LEN, 0 },         // P_G2_P0Q4
2040 {0x369E, 0x290D, WORD_LEN, 0 },         // P_G2_P1Q0
2041 {0x36A0, 0x42CF, WORD_LEN, 0 },         // P_G2_P1Q1
2042 {0x36A2, 0xDC6D, WORD_LEN, 0 },         // P_G2_P1Q2
2043 {0x36A4, 0x91B1, WORD_LEN, 0 },         // P_G2_P1Q3
2044 {0x36A6, 0x9DE9, WORD_LEN, 0 },         // P_G2_P1Q4
2045 {0x36DE, 0x70B2, WORD_LEN, 0 },         // P_G2_P2Q0
2046 {0x36E0, 0x02AC, WORD_LEN, 0 },         // P_G2_P2Q1
2047 {0x36E2, 0x9714, WORD_LEN, 0 },         // P_G2_P2Q2
2048 {0x36E4, 0xF3CF, WORD_LEN, 0 },         // P_G2_P2Q3
2049 {0x36E6, 0x6BD1, WORD_LEN, 0 },         // P_G2_P2Q4
2050 {0x371E, 0xE42E, WORD_LEN, 0 },         // P_G2_P3Q0
2051 {0x3720, 0x1D32, WORD_LEN, 0 },         // P_G2_P3Q1
2052 {0x3722, 0xCC31, WORD_LEN, 0 },         // P_G2_P3Q2
2053 {0x3724, 0xAE94, WORD_LEN, 0 },         // P_G2_P3Q3
2054 {0x3726, 0x6413, WORD_LEN, 0 },         // P_G2_P3Q4
2055 {0x375E, 0xE290, WORD_LEN, 0 },         // P_G2_P4Q0
2056 {0x3760, 0x8F53, WORD_LEN, 0 },         // P_G2_P4Q1
2057 {0x3762, 0xF936, WORD_LEN, 0 },         // P_G2_P4Q2
2058 {0x3764, 0x4614, WORD_LEN, 0 },         // P_G2_P4Q3
2059 {0x3766, 0x1B59, WORD_LEN, 0 },         // P_G2_P4Q4
2060 */
2061
2062 {0x3784, 0x0404, WORD_LEN, 0 },         // CENTER_COLUMN
2063 {0x3782, 0x0304, WORD_LEN, 0 },         // CENTER_ROW
2064 {0x3210, 0x04B8, WORD_LEN, 0 },         // COLOR_PIPELINE_CONTROL
2065 {0x098E, 0xC913, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
2066 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2067 {0x098E, 0x686B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
2068 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2069 {0x098E, 0x686D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
2070 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2071 {0x098E, 0x6C6B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
2072 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2073 {0x098E, 0x6C6D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
2074 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2075 {0x098E, 0x3439, WORD_LEN, 0 },         // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
2076 {0x0990, 0x05DC, WORD_LEN, 0 },         // MCU_DATA_0
2077 {0x098E, 0x343B, WORD_LEN, 0 },         // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
2078 {0x0990, 0x0BB8, WORD_LEN, 0 },         // MCU_DATA_0
2079 {0x098E, 0x4926, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
2080 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2081 {0x098E, 0x4928, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
2082 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2083 {0x098E, 0x492A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
2084 {0x0990, 0x0656, WORD_LEN, 0 },         // MCU_DATA_0
2085 {0x098E, 0x4D26, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
2086 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2087 {0x098E, 0x4D28, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
2088 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2089 {0x098E, 0x4D2A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
2090 {0x0990, 0x0656, WORD_LEN, 0 },         // MCU_DATA_0
2091 {0x33F4, 0x040B, WORD_LEN, 0 },         // KERNEL_CONFIG
2092 {0x098E, 0xC916, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_0]
2093 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2094 {0x098E, 0xC919, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
2095 {0x0990, 0x0028, WORD_LEN, 0 },         // MCU_DATA_0
2096 {0x098E, 0xC917, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_1]
2097 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
2098 {0x098E, 0xC918, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_START_2]
2099 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2100 {0x098E, 0xC91A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
2101 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0
2102 {0x098E, 0xC91B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
2103 {0x0990, 0x0009, WORD_LEN, 0 },         // MCU_DATA_0
2104 {0x326C, 0x0C00, WORD_LEN, 0 },         // APERTURE_PARAMETERS_2D
2105 {0x098E, 0x494B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
2106 {0x0990, 0x0042, WORD_LEN, 0 },         // MCU_DATA_0
2107 {0x098E, 0x494D, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
2108 {0x0990, 0x012C, WORD_LEN, 0 },         // MCU_DATA_0
2109 {0x098E, 0xC91E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_0]
2110 {0x0990, 0x0012, WORD_LEN, 0 },         // MCU_DATA_0
2111 {0x098E, 0xC91F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_1]
2112 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2113 {0x098E, 0xC920, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_2]
2114 {0x0990, 0x0012, WORD_LEN, 0 },         // MCU_DATA_0
2115 {0x098E, 0xC921, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_START_3]
2116 {0x0990, 0x000A, WORD_LEN, 0 },         // MCU_DATA_0
2117 {0x098E, 0xC922, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
2118 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2119 {0x098E, 0xC923, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
2120 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2121 {0x098E, 0xC924, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
2122 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2123 {0x098E, 0xC925, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
2124 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2125 {0x098E, 0xBC02, WORD_LEN, 0 },         // MCU_ADDRESS [LL_MODE]
2126 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
2127 {0x098E, 0xBC05, WORD_LEN, 0 },         // MCU_ADDRESS [LL_CLUSTER_DC_TH]
2128 {0x0990, 0x000E, WORD_LEN, 0 },         // MCU_DATA_0
2129 {0x316C, 0x350F, WORD_LEN, 0 },         // DAC_TXLO
2130 {0x098E, 0xC950, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
2131 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2132 {0x098E, 0xC94F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
2133 {0x0990, 0x0038, WORD_LEN, 0 },         // MCU_DATA_0
2134 {0x098E, 0xC952, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
2135 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2136 {0x098E, 0xC951, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
2137 {0x0990, 0x0051, WORD_LEN, 0 },         // MCU_DATA_0
2138 {0x098E, 0xC954, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
2139 {0x0990, 0x0010, WORD_LEN, 0 },         // MCU_DATA_0
2140 {0x098E, 0xC953, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
2141 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2142 {0x098E, 0xC956, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
2143 {0x0990, 0x0010, WORD_LEN, 0 },         // MCU_DATA_0
2144 {0x098E, 0xC955, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
2145 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2146 {0x098E, 0xC958, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
2147 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2148 {0x098E, 0xC957, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
2149 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2150 {0x098E, 0xC95A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
2151 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2152 {0x098E, 0xC959, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
2153 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2154 {0x098E, 0xC95C, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
2155 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2156 {0x098E, 0xC95B, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
2157 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
2158 {0x098E, 0xC95E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
2159 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2160 {0x098E, 0xC95D, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
2161 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
2162 {0x098E, 0xC95F, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
2163 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2164 {0x098E, 0x48DC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
2165 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2166 {0x098E, 0x48DE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
2167 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2168 {0x098E, 0x48E0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
2169 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2170 {0x098E, 0x48E2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
2171 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2172 {0x098E, 0x48E4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
2173 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2174 {0x098E, 0x48E6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
2175 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2176 {0x098E, 0x48E8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
2177 {0x0990, 0x004D, WORD_LEN, 0 },         // MCU_DATA_0
2178 {0x098E, 0x48EA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
2179 {0x0990, 0x0096, WORD_LEN, 0 },         // MCU_DATA_0
2180 {0x098E, 0x48EC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
2181 {0x0990, 0x001D, WORD_LEN, 0 },         // MCU_DATA_0
2182 {0x098E, 0xDC2A, WORD_LEN, 0 },         // MCU_ADDRESS [SYS_DELTA_GAIN]
2183 {0x0990, 0x000B, WORD_LEN, 0 },         // MCU_DATA_0
2184 {0x098E, 0xDC2B, WORD_LEN, 0 },         // MCU_ADDRESS [SYS_DELTA_THRESH]
2185 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0
2186 {0x098E, 0xBC0B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
2187 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2188 {0x098E, 0xBC0C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
2189 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2190 {0x098E, 0xBC0D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
2191 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2192 {0x098E, 0xBC0E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
2193 {0x0990, 0x003E, WORD_LEN, 0 },         // MCU_DATA_0
2194 {0x098E, 0xBC0F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
2195 {0x0990, 0x005A, WORD_LEN, 0 },         // MCU_DATA_0
2196 {0x098E, 0xBC10, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
2197 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2198 {0x098E, 0xBC11, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
2199 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2200 {0x098E, 0xBC12, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
2201 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2202 {0x098E, 0xBC13, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
2203 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2204 {0x098E, 0xBC14, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
2205 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2206 {0x098E, 0xBC15, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
2207 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2208 {0x098E, 0xBC16, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
2209 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2210 {0x098E, 0xBC17, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
2211 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2212 {0x098E, 0xBC18, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
2213 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2214 {0x098E, 0xBC19, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
2215 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2216 {0x098E, 0xBC1A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
2217 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2218 {0x098E, 0xBC1B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
2219 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2220 {0x098E, 0xBC1C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
2221 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2222 {0x098E, 0xBC1D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
2223 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2224 {0x098E, 0xBC1E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
2225 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2226 {0x098E, 0xBC1F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
2227 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2228 {0x098E, 0xBC20, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
2229 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2230 {0x098E, 0xBC21, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
2231 {0x0990, 0x003E, WORD_LEN, 0 },         // MCU_DATA_0
2232 {0x098E, 0xBC22, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
2233 {0x0990, 0x005A, WORD_LEN, 0 },         // MCU_DATA_0
2234 {0x098E, 0xBC23, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
2235 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2236 {0x098E, 0xBC24, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
2237 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2238 {0x098E, 0xBC25, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
2239 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2240 {0x098E, 0xBC26, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
2241 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2242 {0x098E, 0xBC27, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
2243 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2244 {0x098E, 0xBC28, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
2245 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2246 {0x098E, 0xBC29, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
2247 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2248 {0x098E, 0xBC2A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
2249 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2250 {0x098E, 0xBC2B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
2251 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2252 {0x098E, 0xBC2C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
2253 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2254 {0x098E, 0xBC2D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
2255 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2256 {0x098E, 0xBC2E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
2257 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2258 {0x098E, 0xBC2F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
2259 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2260 {0x098E, 0xBC30, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
2261 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2262 {0x098E, 0xBC31, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
2263 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2264 {0x098E, 0xBC32, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
2265 {0x0990, 0x000D, WORD_LEN, 0 },         // MCU_DATA_0
2266 {0x098E, 0xBC33, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
2267 {0x0990, 0x0019, WORD_LEN, 0 },         // MCU_DATA_0
2268 {0x098E, 0xBC34, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
2269 {0x0990, 0x0030, WORD_LEN, 0 },         // MCU_DATA_0
2270 {0x098E, 0xBC35, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
2271 {0x0990, 0x0056, WORD_LEN, 0 },         // MCU_DATA_0
2272 {0x098E, 0xBC36, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
2273 {0x0990, 0x0070, WORD_LEN, 0 },         // MCU_DATA_0
2274 {0x098E, 0xBC37, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
2275 {0x0990, 0x0081, WORD_LEN, 0 },         // MCU_DATA_0
2276 {0x098E, 0xBC38, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
2277 {0x0990, 0x0090, WORD_LEN, 0 },         // MCU_DATA_0
2278 {0x098E, 0xBC39, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
2279 {0x0990, 0x009E, WORD_LEN, 0 },         // MCU_DATA_0
2280 {0x098E, 0xBC3A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
2281 {0x0990, 0x00AB, WORD_LEN, 0 },         // MCU_DATA_0
2282 {0x098E, 0xBC3B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
2283 {0x0990, 0x00B6, WORD_LEN, 0 },         // MCU_DATA_0
2284 {0x098E, 0xBC3C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
2285 {0x0990, 0x00C1, WORD_LEN, 0 },         // MCU_DATA_0
2286 {0x098E, 0xBC3D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
2287 {0x0990, 0x00CB, WORD_LEN, 0 },         // MCU_DATA_0
2288 {0x098E, 0xBC3E, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
2289 {0x0990, 0x00D5, WORD_LEN, 0 },         // MCU_DATA_0
2290 {0x098E, 0xBC3F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
2291 {0x0990, 0x00DE, WORD_LEN, 0 },         // MCU_DATA_0
2292 {0x098E, 0xBC40, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
2293 {0x0990, 0x00E7, WORD_LEN, 0 },         // MCU_DATA_0
2294 {0x098E, 0xBC41, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
2295 {0x0990, 0x00EF, WORD_LEN, 0 },         // MCU_DATA_0
2296 {0x098E, 0xBC42, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
2297 {0x0990, 0x00F7, WORD_LEN, 0 },         // MCU_DATA_0
2298 {0x098E, 0xBC43, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
2299 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2300 {0x098E, 0x6865, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
2301 {0x0990, 0x00E0, WORD_LEN, 0 },         // MCU_DATA_0
2302 {0x098E, 0x6867, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
2303 {0x0990, 0x00F4, WORD_LEN, 0 },         // MCU_DATA_0
2304 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2305 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2306 {0x098E, 0xBC4A, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
2307 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2308 {0x098E, 0xBC4B, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_MED]
2309 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2310 {0x098E, 0xBC4C, WORD_LEN, 0 },         // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
2311 {0x0990, 0x007F, WORD_LEN, 0 },         // MCU_DATA_0
2312 {0x3542, 0x0010, WORD_LEN, 0 },         // TONAL_X0
2313 {0x3544, 0x0030, WORD_LEN, 0 },         // TONAL_X1
2314 {0x3546, 0x0040, WORD_LEN, 0 },         // TONAL_X2
2315 {0x3548, 0x0080, WORD_LEN, 0 },         // TONAL_X3
2316 {0x354A, 0x0100, WORD_LEN, 0 },         // TONAL_X4
2317 {0x354C, 0x0200, WORD_LEN, 0 },         // TONAL_X5
2318 {0x354E, 0x0300, WORD_LEN, 0 },         // TONAL_X6
2319 {0x3550, 0x0010, WORD_LEN, 0 },         // TONAL_Y0
2320 {0x3552, 0x0030, WORD_LEN, 0 },         // TONAL_Y1
2321 {0x3554, 0x0040, WORD_LEN, 0 },         // TONAL_Y2
2322 {0x3556, 0x0080, WORD_LEN, 0 },         // TONAL_Y3
2323 {0x3558, 0x012C, WORD_LEN, 0 },         // TONAL_Y4
2324 {0x355A, 0x0320, WORD_LEN, 0 },         // TONAL_Y5
2325 {0x355C, 0x03E8, WORD_LEN, 0 },         // TONAL_Y6
2326 {0x3560, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X0_MINUS_ZERO
2327 {0x3562, 0x0020, WORD_LEN, 0 },         // RECIPROCAL_OF_X1_MINUS_X0
2328 {0x3564, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X2_MINUS_X1
2329 {0x3566, 0x0010, WORD_LEN, 0 },         // RECIPROCAL_OF_X3_MINUS_X2
2330 {0x3568, 0x0008, WORD_LEN, 0 },         // RECIPROCAL_OF_X4_MINUS_X3
2331 {0x356A, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X5_MINUS_X4
2332 {0x356C, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X6_MINUS_X5
2333 {0x356E, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_400_MINUS_X6
2334 {0x098E, 0x3C4D, WORD_LEN, 0 },         // MCU_ADDRESS [LL_START_GAMMA_FTB]
2335 {0x0990, 0x0DAC, WORD_LEN, 0 },         // MCU_DATA_0
2336 {0x098E, 0x3C4F, WORD_LEN, 0 },         // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
2337 {0x0990, 0x148A, WORD_LEN, 0 },         // MCU_DATA_0
2338 {0x098E, 0xC911, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
2339 {0x0990, 0x00C8, WORD_LEN, 0 },         // MCU_DATA_0
2340 {0x098E, 0xC8F4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
2341 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
2342 {0x098E, 0xC8F5, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
2343 {0x0990, 0x0002, WORD_LEN, 0 },         // MCU_DATA_0
2344 {0x098E, 0x48F6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
2345 {0x0990, 0x3B4D, WORD_LEN, 0 },         // MCU_DATA_0
2346 {0x098E, 0x48F8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
2347 {0x0990, 0x6380, WORD_LEN, 0 },         // MCU_DATA_0
2348 {0x098E, 0x48FA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
2349 {0x0990, 0x9B18, WORD_LEN, 0 },         // MCU_DATA_0
2350 {0x098E, 0x48FC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
2351 {0x0990, 0x5D51, WORD_LEN, 0 },         // MCU_DATA_0
2352 {0x098E, 0x48FE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
2353 {0x0990, 0xEDE8, WORD_LEN, 0 },         // MCU_DATA_0
2354 {0x098E, 0x4900, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
2355 {0x0990, 0xE515, WORD_LEN, 0 },         // MCU_DATA_0
2356 {0x098E, 0x4902, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
2357 {0x0990, 0xBFF4, WORD_LEN, 0 },         // MCU_DATA_0
2358 {0x098E, 0x4904, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
2359 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2360 {0x098E, 0x4906, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
2361 {0x0990, 0x0026, WORD_LEN, 0 },         // MCU_DATA_0
2362 {0x098E, 0x4908, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
2363 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0
2364 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
2365 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
2366 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
2367 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
2368 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
2369 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
2370 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
2371 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
2372 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2373 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2374 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
2375 {0x0990, 0x0180, WORD_LEN, 0 },         // MCU_DATA_0
2376 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
2377 {0x0990, 0xFF7A, WORD_LEN, 0 },         // MCU_DATA_0
2378 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
2379 {0x0990, 0x0018, WORD_LEN, 0 },         // MCU_DATA_0
2380 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
2381 {0x0990, 0xFFCA, WORD_LEN, 0 },         // MCU_DATA_0
2382 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
2383 {0x0990, 0x017C, WORD_LEN, 0 },         // MCU_DATA_0
2384 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
2385 {0x0990, 0xFFCC, WORD_LEN, 0 },         // MCU_DATA_0
2386 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
2387 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0
2388 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
2389 {0x0990, 0xFF1F, WORD_LEN, 0 },         // MCU_DATA_0
2390 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
2391 {0x0990, 0x01E8, WORD_LEN, 0 },         // MCU_DATA_0
2392 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
2393 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2394 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
2395 {0x0990, 0x0044, WORD_LEN, 0 },         // MCU_DATA_0
2396 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
2397 {0x0990, 0x0079, WORD_LEN, 0 },         // MCU_DATA_0
2398 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
2399 {0x0990, 0xFFAD, WORD_LEN, 0 },         // MCU_DATA_0
2400 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
2401 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0
2402 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
2403 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0
2404 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
2405 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0
2406 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
2407 {0x0990, 0xFFAA, WORD_LEN, 0 },         // MCU_DATA_0
2408 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
2409 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0
2410 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
2411 {0x0990, 0x004B, WORD_LEN, 0 },         // MCU_DATA_0
2412 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
2413 {0x0990, 0xFFA5, WORD_LEN, 0 },         // MCU_DATA_0
2414 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
2415 {0x0990, 0x0015, WORD_LEN, 0 },         // MCU_DATA_0
2416 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
2417 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0
2418 {0x35A2, 0x0014, WORD_LEN, 0 },         // DARK_COLOR_KILL_CONTROLS
2419 {0x098E, 0xC949, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
2420 {0x0990, 0x0024, WORD_LEN, 0 },         // MCU_DATA_0
2421 {0x35A4, 0x0596, WORD_LEN, 0 },         // BRIGHT_COLOR_KILL_CONTROLS
2422 {0x098E, 0xC94A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
2423 {0x0990, 0x0062, WORD_LEN, 0 },         // MCU_DATA_0
2424 {0x098E, 0xC948, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
2425 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2426 {0x098E, 0xC914, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
2427 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2428 {0x098E, 0xC915, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
2429 {0x0990, 0x00FF, WORD_LEN, 0 },         // MCU_DATA_0
2430 {0x098E, 0xE86F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
2431 {0x0990, 0x0060, WORD_LEN, 0 },         // MCU_DATA_0
2432 {0x098E, 0xE870, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
2433 {0x0990, 0x003C, WORD_LEN, 0 },         // MCU_DATA_0
2434 {0x098E, 0xEC6F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
2435 {0x0990, 0x0060, WORD_LEN, 0 },         // MCU_DATA_0
2436 {0x098E, 0xEC70, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
2437 {0x0990, 0x003C, WORD_LEN, 0 },         // MCU_DATA_0
2438 {0x098E, 0xE883, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
2439 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2440 {0x098E, 0xEC83, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
2441 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2442 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2443 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2444 {0x098E, 0xE885, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
2445 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2446 {0x098E, 0xE886, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
2447 {0x0990, 0x00D8, WORD_LEN, 0 },         // MCU_DATA_0
2448 {0x098E, 0xEC85, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
2449 {0x0990, 0x001E, WORD_LEN, 0 },         // MCU_DATA_0
2450 {0x098E, 0xEC86, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
2451 {0x0990, 0x00D8, WORD_LEN, 0 },         // MCU_DATA_0
2452 {0x098E, 0xE884, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
2453 {0x0990, 0x005C, WORD_LEN, 0 },         // MCU_DATA_0
2454 {0x098E, 0xEC84, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
2455 {0x0990, 0x005C, WORD_LEN, 0 },         // MCU_DATA_0
2456 {0x098E, 0x490A, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
2457 {0x0990, 0x0666, WORD_LEN, 0 },         // MCU_DATA_0
2458 {0x098E, 0x490C, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
2459 {0x0990, 0x0140, WORD_LEN, 0 },         // MCU_DATA_0
2460 {0x098E, 0x6857, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
2461 {0x0990, 0x0014, WORD_LEN, 0 },         // MCU_DATA_0
2462 {0x098E, 0x685C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
2463 {0x0990, 0x0005, WORD_LEN, 0 },         // MCU_DATA_0
2464 {0x098E, 0x490E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
2465 {0x0990, 0x00A4, WORD_LEN, 0 },         // MCU_DATA_0
2466 {0x098E, 0xB43D, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_0]
2467 {0x0990, 0x0031, WORD_LEN, 0 },         // MCU_DATA_0
2468 {0x098E, 0xB43E, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_1]
2469 {0x0990, 0x001B, WORD_LEN, 0 },         // MCU_DATA_0
2470 {0x098E, 0xB43F, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_2]
2471 {0x0990, 0x0028, WORD_LEN, 0 },         // MCU_DATA_0
2472 {0x098E, 0xB440, WORD_LEN, 0 },         // MCU_ADDRESS [AS_START_ASVALUES_3]
2473 {0x0990, 0x0003, WORD_LEN, 0 },         // MCU_DATA_0
2474 {0x098E, 0xB441, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_0]
2475 {0x0990, 0x00CD, WORD_LEN, 0 },         // MCU_DATA_0
2476 {0x098E, 0xB442, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_1]
2477 {0x0990, 0x0064, WORD_LEN, 0 },         // MCU_DATA_0
2478 {0x098E, 0xB443, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_2]
2479 {0x0990, 0x000F, WORD_LEN, 0 },         // MCU_DATA_0
2480 {0x098E, 0xB444, WORD_LEN, 0 },         // MCU_ADDRESS [AS_STOP_ASVALUES_3]
2481 {0x0990, 0x0007, WORD_LEN, 0 },         // MCU_DATA_0
2482 {0x098E, 0x300D, WORD_LEN, 0 },         // MCU_ADDRESS [AF_FILTERS]
2483 {0x0990, 0x000F, WORD_LEN, 0 },         // MCU_DATA_0
2484 {0x098E, 0x3017, WORD_LEN, 0 },         // MCU_ADDRESS [AF_THRESHOLDS]
2485 {0x0990, 0x0F0F, WORD_LEN, 0 },         // MCU_DATA_0
2486 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [SEQ_CMD]
2487 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
2488 {0x098E, 0xE81F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
2489 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2490 {0x098E, 0x68A0, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2491 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2492 {0x098E, 0x6CA0, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2493 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2494 {0x098E, 0x70A0, WORD_LEN, 0 },         // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2495 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2496 {0x098E, 0x74A0, WORD_LEN, 0 },         // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
2497 {0x0990, 0x082E, WORD_LEN, 0 },         // MCU_DATA_0
2498 {0x3C52, 0x002E, WORD_LEN, 0 },         // RESERVED_TX_SS_3C52//02e
2499 {0x098E, 0x488E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
2500 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
2501 {0x098E, 0xECAC, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
2502 {0x0990, 0x0000, WORD_LEN, 0 },         // MCU_DATA_0
2503 {0x3084, 0x2409, WORD_LEN, 0 },         // RESERVED_CORE_3084
2504 {0x3092, 0x0A49, WORD_LEN, 0 },         // RESERVED_CORE_3092
2505 {0x3094, 0x4949, WORD_LEN, 0 },         // RESERVED_CORE_3094
2506 {0x3096, 0x4950, WORD_LEN, 0 },         // RESERVED_CORE_3096
2507
2508 {0x0982, 0x0000, WORD_LEN, 0 },         // ACCESS_CTL_STAT
2509 {0x098A, 0x0CFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2510 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
2511 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
2512 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
2513 {0x0996, 0x5F4F, WORD_LEN, 0 }, 
2514 {0x0998, 0x30ED, WORD_LEN, 0 }, 
2515 {0x099A, 0x0AED, WORD_LEN, 0 }, 
2516 {0x099C, 0x08BD, WORD_LEN, 0 }, 
2517 {0x099E, 0x61D5, WORD_LEN, 0 }, 
2518 {0x098A, 0x0D0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2519 {0x0990, 0xCE04, WORD_LEN, 0 }, 
2520 {0x0992, 0xCD1F, WORD_LEN, 0 }, 
2521 {0x0994, 0x1702, WORD_LEN, 0 }, 
2522 {0x0996, 0x11CC, WORD_LEN, 0 }, 
2523 {0x0998, 0x332E, WORD_LEN, 0 }, 
2524 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2525 {0x099C, 0x02CC, WORD_LEN, 0 }, 
2526 {0x099E, 0xFFFD, WORD_LEN, 0 }, 
2527 {0x098A, 0x0D1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2528 {0x0990, 0xED00, WORD_LEN, 0 }, 
2529 {0x0992, 0xCC00, WORD_LEN, 0 }, 
2530 {0x0994, 0x02BD, WORD_LEN, 0 }, 
2531 {0x0996, 0x706D, WORD_LEN, 0 }, 
2532 {0x0998, 0x18DE, WORD_LEN, 0 }, 
2533 {0x099A, 0x1F18, WORD_LEN, 0 }, 
2534 {0x099C, 0x1F8E, WORD_LEN, 0 }, 
2535 {0x099E, 0x0110, WORD_LEN, 0 }, 
2536 {0x098A, 0x0D2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2537 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
2538 {0x0992, 0x5230, WORD_LEN, 0 }, 
2539 {0x0994, 0xED00, WORD_LEN, 0 }, 
2540 {0x0996, 0x18EC, WORD_LEN, 0 }, 
2541 {0x0998, 0xA0C4, WORD_LEN, 0 }, 
2542 {0x099A, 0xFDBD, WORD_LEN, 0 }, 
2543 {0x099C, 0x7021, WORD_LEN, 0 }, 
2544 {0x099E, 0x201E, WORD_LEN, 0 }, 
2545 {0x098A, 0x0D3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2546 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
2547 {0x0992, 0x5230, WORD_LEN, 0 }, 
2548 {0x0994, 0xED00, WORD_LEN, 0 }, 
2549 {0x0996, 0xDE1F, WORD_LEN, 0 }, 
2550 {0x0998, 0xECA0, WORD_LEN, 0 }, 
2551 {0x099A, 0xBD70, WORD_LEN, 0 }, 
2552 {0x099C, 0x21CC, WORD_LEN, 0 }, 
2553 {0x099E, 0x3C52, WORD_LEN, 0 }, 
2554 {0x098A, 0x0D4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2555 {0x0990, 0x30ED, WORD_LEN, 0 }, 
2556 {0x0992, 0x02CC, WORD_LEN, 0 }, 
2557 {0x0994, 0xFFFC, WORD_LEN, 0 }, 
2558 {0x0996, 0xED00, WORD_LEN, 0 }, 
2559 {0x0998, 0xCC00, WORD_LEN, 0 }, 
2560 {0x099A, 0x02BD, WORD_LEN, 0 }, 
2561 {0x099C, 0x706D, WORD_LEN, 0 }, 
2562 {0x099E, 0xFC04, WORD_LEN, 0 }, 
2563 {0x098A, 0x0D5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2564 {0x0990, 0xE11A, WORD_LEN, 0 }, 
2565 {0x0992, 0x8300, WORD_LEN, 0 }, 
2566 {0x0994, 0x0127, WORD_LEN, 0 }, 
2567 {0x0996, 0x201A, WORD_LEN, 0 }, 
2568 {0x0998, 0x8300, WORD_LEN, 0 }, 
2569 {0x099A, 0x0427, WORD_LEN, 0 }, 
2570 {0x099C, 0x221A, WORD_LEN, 0 }, 
2571 {0x099E, 0x8300, WORD_LEN, 0 }, 
2572 {0x098A, 0x0D6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2573 {0x0990, 0x0827, WORD_LEN, 0 }, 
2574 {0x0992, 0x241A, WORD_LEN, 0 }, 
2575 {0x0994, 0x8300, WORD_LEN, 0 }, 
2576 {0x0996, 0x1027, WORD_LEN, 0 }, 
2577 {0x0998, 0x261A, WORD_LEN, 0 }, 
2578 {0x099A, 0x8300, WORD_LEN, 0 }, 
2579 {0x099C, 0x2027, WORD_LEN, 0 }, 
2580 {0x099E, 0x281A, WORD_LEN, 0 }, 
2581 {0x098A, 0x0D7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2582 {0x0990, 0x8300, WORD_LEN, 0 }, 
2583 {0x0992, 0x4027, WORD_LEN, 0 }, 
2584 {0x0994, 0x2A20, WORD_LEN, 0 }, 
2585 {0x0996, 0x2ECC, WORD_LEN, 0 }, 
2586 {0x0998, 0x001E, WORD_LEN, 0 }, 
2587 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2588 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2589 {0x099E, 0x26CC, WORD_LEN, 0 }, 
2590 {0x098A, 0x0D8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2591 {0x0990, 0x0022, WORD_LEN, 0 }, 
2592 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2593 {0x0994, 0x0A20, WORD_LEN, 0 }, 
2594 {0x0996, 0x1ECC, WORD_LEN, 0 }, 
2595 {0x0998, 0x0021, WORD_LEN, 0 }, 
2596 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2597 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2598 {0x099E, 0x16CC, WORD_LEN, 0 }, 
2599 {0x098A, 0x0D9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2600 {0x0990, 0x0020, WORD_LEN, 0 }, 
2601 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2602 {0x0994, 0x0A20, WORD_LEN, 0 }, 
2603 {0x0996, 0x0ECC, WORD_LEN, 0 }, 
2604 {0x0998, 0x002A, WORD_LEN, 0 }, 
2605 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2606 {0x099C, 0x0A20, WORD_LEN, 0 }, 
2607 {0x099E, 0x06CC, WORD_LEN, 0 }, 
2608 {0x098A, 0x0DAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2609 {0x0990, 0x002B, WORD_LEN, 0 }, 
2610 {0x0992, 0x30ED, WORD_LEN, 0 }, 
2611 {0x0994, 0x0ACC, WORD_LEN, 0 }, 
2612 {0x0996, 0x3400, WORD_LEN, 0 }, 
2613 {0x0998, 0x30ED, WORD_LEN, 0 }, 
2614 {0x099A, 0x0034, WORD_LEN, 0 }, 
2615 {0x099C, 0xBD6F, WORD_LEN, 0 }, 
2616 {0x099E, 0xD184, WORD_LEN, 0 }, 
2617 {0x098A, 0x0DBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2618 {0x0990, 0x0330, WORD_LEN, 0 }, 
2619 {0x0992, 0xED07, WORD_LEN, 0 }, 
2620 {0x0994, 0xA60C, WORD_LEN, 0 }, 
2621 {0x0996, 0x4848, WORD_LEN, 0 }, 
2622 {0x0998, 0x5FED, WORD_LEN, 0 }, 
2623 {0x099A, 0x05EC, WORD_LEN, 0 }, 
2624 {0x099C, 0x07EA, WORD_LEN, 0 }, 
2625 {0x099E, 0x06AA, WORD_LEN, 0 }, 
2626 {0x098A, 0x0DCB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2627 {0x0990, 0x0531, WORD_LEN, 0 }, 
2628 {0x0992, 0xBD70, WORD_LEN, 0 }, 
2629 {0x0994, 0x21DE, WORD_LEN, 0 }, 
2630 {0x0996, 0x1F1F, WORD_LEN, 0 }, 
2631 {0x0998, 0x8E01, WORD_LEN, 0 }, 
2632 {0x099A, 0x08EC, WORD_LEN, 0 }, 
2633 {0x099C, 0x9B05, WORD_LEN, 0 }, 
2634 {0x099E, 0x30ED, WORD_LEN, 0 }, 
2635 {0x098A, 0x0DDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2636 {0x0990, 0x0820, WORD_LEN, 0 }, 
2637 {0x0992, 0x3BDE, WORD_LEN, 0 }, 
2638 {0x0994, 0x1FEC, WORD_LEN, 0 }, 
2639 {0x0996, 0x0783, WORD_LEN, 0 }, 
2640 {0x0998, 0x0040, WORD_LEN, 0 }, 
2641 {0x099A, 0x2628, WORD_LEN, 0 }, 
2642 {0x099C, 0x7F30, WORD_LEN, 0 }, 
2643 {0x099E, 0xC4CC, WORD_LEN, 0 }, 
2644 {0x098A, 0x0DEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2645 {0x0990, 0x3C68, WORD_LEN, 0 }, 
2646 {0x0992, 0xBD6F, WORD_LEN, 0 }, 
2647 {0x0994, 0xD1FD, WORD_LEN, 0 }, 
2648 {0x0996, 0x30C5, WORD_LEN, 0 }, 
2649 {0x0998, 0xCC01, WORD_LEN, 0 }, 
2650 {0x099A, 0xF4FD, WORD_LEN, 0 }, 
2651 {0x099C, 0x30C7, WORD_LEN, 0 }, 
2652 {0x099E, 0xC640, WORD_LEN, 0 }, 
2653 {0x098A, 0x0DFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2654 {0x0990, 0xF730, WORD_LEN, 0 }, 
2655 {0x0992, 0xC4CC, WORD_LEN, 0 }, 
2656 {0x0994, 0x0190, WORD_LEN, 0 }, 
2657 {0x0996, 0xFD30, WORD_LEN, 0 }, 
2658 {0x0998, 0xC501, WORD_LEN, 0 }, 
2659 {0x099A, 0x0101, WORD_LEN, 0 }, 
2660 {0x099C, 0xFC30, WORD_LEN, 0 }, 
2661 {0x099E, 0xC230, WORD_LEN, 0 }, 
2662 {0x098A, 0x0E0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2663 {0x0990, 0xED08, WORD_LEN, 0 }, 
2664 {0x0992, 0x200A, WORD_LEN, 0 }, 
2665 {0x0994, 0xCC3C, WORD_LEN, 0 }, 
2666 {0x0996, 0x68BD, WORD_LEN, 0 }, 
2667 {0x0998, 0x6FD1, WORD_LEN, 0 }, 
2668 {0x099A, 0x0530, WORD_LEN, 0 }, 
2669 {0x099C, 0xED08, WORD_LEN, 0 }, 
2670 {0x099E, 0xCC34, WORD_LEN, 0 }, 
2671 {0x098A, 0x0E1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2672 {0x0990, 0x08ED, WORD_LEN, 0 }, 
2673 {0x0992, 0x00EC, WORD_LEN, 0 }, 
2674 {0x0994, 0x08BD, WORD_LEN, 0 }, 
2675 {0x0996, 0x7021, WORD_LEN, 0 }, 
2676 {0x0998, 0x30C6, WORD_LEN, 0 }, 
2677 {0x099A, 0x0C3A, WORD_LEN, 0 }, 
2678 {0x099C, 0x3539, WORD_LEN, 0 }, 
2679 {0x099E, 0x373C, WORD_LEN, 0 }, 
2680 {0x098A, 0x0E2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2681 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
2682 {0x0992, 0x34DE, WORD_LEN, 0 }, 
2683 {0x0994, 0x2FEE, WORD_LEN, 0 }, 
2684 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
2685 {0x0998, 0x007D, WORD_LEN, 0 }, 
2686 {0x099A, 0x13EF, WORD_LEN, 0 }, 
2687 {0x099C, 0x277C, WORD_LEN, 0 }, 
2688 {0x099E, 0xCE13, WORD_LEN, 0 }, 
2689 {0x098A, 0x0E3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2690 {0x0990, 0xE01E, WORD_LEN, 0 }, 
2691 {0x0992, 0x0510, WORD_LEN, 0 }, 
2692 {0x0994, 0x60E6, WORD_LEN, 0 }, 
2693 {0x0996, 0x0E4F, WORD_LEN, 0 }, 
2694 {0x0998, 0xC313, WORD_LEN, 0 }, 
2695 {0x099A, 0xF08F, WORD_LEN, 0 }, 
2696 {0x099C, 0xE600, WORD_LEN, 0 }, 
2697 {0x099E, 0x30E1, WORD_LEN, 0 }, 
2698 {0x098A, 0x0E4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2699 {0x0990, 0x0722, WORD_LEN, 0 }, 
2700 {0x0992, 0x16F6, WORD_LEN, 0 }, 
2701 {0x0994, 0x13EE, WORD_LEN, 0 }, 
2702 {0x0996, 0x4FC3, WORD_LEN, 0 }, 
2703 {0x0998, 0x13F3, WORD_LEN, 0 }, 
2704 {0x099A, 0x8FE6, WORD_LEN, 0 }, 
2705 {0x099C, 0x0030, WORD_LEN, 0 }, 
2706 {0x099E, 0xE107, WORD_LEN, 0 }, 
2707 {0x098A, 0x0E5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2708 {0x0990, 0x2507, WORD_LEN, 0 }, 
2709 {0x0992, 0xF613, WORD_LEN, 0 }, 
2710 {0x0994, 0xEEC1, WORD_LEN, 0 }, 
2711 {0x0996, 0x0325, WORD_LEN, 0 }, 
2712 {0x0998, 0x3C7F, WORD_LEN, 0 }, 
2713 {0x099A, 0x13EE, WORD_LEN, 0 }, 
2714 {0x099C, 0xF613, WORD_LEN, 0 }, 
2715 {0x099E, 0xEFE7, WORD_LEN, 0 }, 
2716 {0x098A, 0x0E6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2717 {0x0990, 0x06CC, WORD_LEN, 0 }, 
2718 {0x0992, 0x13F0, WORD_LEN, 0 }, 
2719 {0x0994, 0xED04, WORD_LEN, 0 }, 
2720 {0x0996, 0xCC13, WORD_LEN, 0 }, 
2721 {0x0998, 0xF320, WORD_LEN, 0 }, 
2722 {0x099A, 0x0F7C, WORD_LEN, 0 }, 
2723 {0x099C, 0x13EE, WORD_LEN, 0 }, 
2724 {0x099E, 0xEC04, WORD_LEN, 0 }, 
2725 {0x098A, 0x0E7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2726 {0x0990, 0xC300, WORD_LEN, 0 }, 
2727 {0x0992, 0x01ED, WORD_LEN, 0 }, 
2728 {0x0994, 0x04EC, WORD_LEN, 0 }, 
2729 {0x0996, 0x02C3, WORD_LEN, 0 }, 
2730 {0x0998, 0x0001, WORD_LEN, 0 }, 
2731 {0x099A, 0xED02, WORD_LEN, 0 }, 
2732 {0x099C, 0xF613, WORD_LEN, 0 }, 
2733 {0x099E, 0xEEE1, WORD_LEN, 0 }, 
2734 {0x098A, 0x0E8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2735 {0x0990, 0x0624, WORD_LEN, 0 }, 
2736 {0x0992, 0x12EE, WORD_LEN, 0 }, 
2737 {0x0994, 0x04E6, WORD_LEN, 0 }, 
2738 {0x0996, 0x0030, WORD_LEN, 0 }, 
2739 {0x0998, 0xE107, WORD_LEN, 0 }, 
2740 {0x099A, 0x22DF, WORD_LEN, 0 }, 
2741 {0x099C, 0xEE02, WORD_LEN, 0 }, 
2742 {0x099E, 0xE600, WORD_LEN, 0 }, 
2743 {0x098A, 0x0E9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2744 {0x0990, 0x30E1, WORD_LEN, 0 }, 
2745 {0x0992, 0x0725, WORD_LEN, 0 }, 
2746 {0x0994, 0xD6DE, WORD_LEN, 0 }, 
2747 {0x0996, 0x49EE, WORD_LEN, 0 }, 
2748 {0x0998, 0x08AD, WORD_LEN, 0 }, 
2749 {0x099A, 0x00CC, WORD_LEN, 0 }, 
2750 {0x099C, 0x13F6, WORD_LEN, 0 }, 
2751 {0x099E, 0x30ED, WORD_LEN, 0 }, 
2752 {0x098A, 0x0EAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2753 {0x0990, 0x00DE, WORD_LEN, 0 }, 
2754 {0x0992, 0x2FEE, WORD_LEN, 0 }, 
2755 {0x0994, 0x10CC, WORD_LEN, 0 }, 
2756 {0x0996, 0x13FA, WORD_LEN, 0 }, 
2757 {0x0998, 0xAD00, WORD_LEN, 0 }, 
2758 {0x099A, 0x3838, WORD_LEN, 0 }, 
2759 {0x099C, 0x3838, WORD_LEN, 0 }, 
2760 {0x099E, 0x3937, WORD_LEN, 0 }, 
2761 {0x098A, 0x0EBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2762 {0x0990, 0x363C, WORD_LEN, 0 }, 
2763 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
2764 {0x0994, 0x5F4F, WORD_LEN, 0 }, 
2765 {0x0996, 0x30ED, WORD_LEN, 0 }, 
2766 {0x0998, 0x04EC, WORD_LEN, 0 }, 
2767 {0x099A, 0x06ED, WORD_LEN, 0 }, 
2768 {0x099C, 0x008F, WORD_LEN, 0 }, 
2769 {0x099E, 0xC300, WORD_LEN, 0 }, 
2770 {0x098A, 0x0ECB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2771 {0x0990, 0x04BD, WORD_LEN, 0 }, 
2772 {0x0992, 0x0F43, WORD_LEN, 0 }, 
2773 {0x0994, 0x30EC, WORD_LEN, 0 }, 
2774 {0x0996, 0x04BD, WORD_LEN, 0 }, 
2775 {0x0998, 0x0F76, WORD_LEN, 0 }, 
2776 {0x099A, 0x30ED, WORD_LEN, 0 }, 
2777 {0x099C, 0x0238, WORD_LEN, 0 }, 
2778 {0x099E, 0x3838, WORD_LEN, 0 }, 
2779 {0x098A, 0x0EDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2780 {0x0990, 0x3839, WORD_LEN, 0 }, 
2781 {0x0992, 0x373C, WORD_LEN, 0 }, 
2782 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
2783 {0x0996, 0x3C30, WORD_LEN, 0 }, 
2784 {0x0998, 0xE608, WORD_LEN, 0 }, 
2785 {0x099A, 0x2712, WORD_LEN, 0 }, 
2786 {0x099C, 0xC101, WORD_LEN, 0 }, 
2787 {0x099E, 0x2713, WORD_LEN, 0 }, 
2788 {0x098A, 0x0EEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2789 {0x0990, 0xC102, WORD_LEN, 0 }, 
2790 {0x0992, 0x2714, WORD_LEN, 0 }, 
2791 {0x0994, 0xC103, WORD_LEN, 0 }, 
2792 {0x0996, 0x2715, WORD_LEN, 0 }, 
2793 {0x0998, 0xC104, WORD_LEN, 0 }, 
2794 {0x099A, 0x2716, WORD_LEN, 0 }, 
2795 {0x099C, 0x2019, WORD_LEN, 0 }, 
2796 {0x099E, 0xCC30, WORD_LEN, 0 }, 
2797 {0x098A, 0x0EFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2798 {0x0990, 0x5E20, WORD_LEN, 0 }, 
2799 {0x0992, 0x12CC, WORD_LEN, 0 }, 
2800 {0x0994, 0x305A, WORD_LEN, 0 }, 
2801 {0x0996, 0x200D, WORD_LEN, 0 }, 
2802 {0x0998, 0xCC30, WORD_LEN, 0 }, 
2803 {0x099A, 0x5620, WORD_LEN, 0 }, 
2804 {0x099C, 0x08CC, WORD_LEN, 0 }, 
2805 {0x099E, 0x305C, WORD_LEN, 0 }, 
2806 {0x098A, 0x0F0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2807 {0x0990, 0x2003, WORD_LEN, 0 }, 
2808 {0x0992, 0xCC30, WORD_LEN, 0 }, 
2809 {0x0994, 0x58ED, WORD_LEN, 0 }, 
2810 {0x0996, 0x065F, WORD_LEN, 0 }, 
2811 {0x0998, 0x4FED, WORD_LEN, 0 }, 
2812 {0x099A, 0x04EC, WORD_LEN, 0 }, 
2813 {0x099C, 0x0BED, WORD_LEN, 0 }, 
2814 {0x099E, 0x008F, WORD_LEN, 0 }, 
2815 {0x098A, 0x0F1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2816 {0x0990, 0xC300, WORD_LEN, 0 }, 
2817 {0x0992, 0x04BD, WORD_LEN, 0 }, 
2818 {0x0994, 0x0F43, WORD_LEN, 0 }, 
2819 {0x0996, 0x30EC, WORD_LEN, 0 }, 
2820 {0x0998, 0x048A, WORD_LEN, 0 }, 
2821 {0x099A, 0x02ED, WORD_LEN, 0 }, 
2822 {0x099C, 0x02EC, WORD_LEN, 0 }, 
2823 {0x099E, 0x06ED, WORD_LEN, 0 }, 
2824 {0x098A, 0x0F2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2825 {0x0990, 0x008F, WORD_LEN, 0 }, 
2826 {0x0992, 0xC300, WORD_LEN, 0 }, 
2827 {0x0994, 0x02DE, WORD_LEN, 0 }, 
2828 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
2829 {0x0998, 0x0030, WORD_LEN, 0 }, 
2830 {0x099A, 0xEC04, WORD_LEN, 0 }, 
2831 {0x099C, 0xBD0F, WORD_LEN, 0 }, 
2832 {0x099E, 0x7630, WORD_LEN, 0 }, 
2833 {0x098A, 0x0F3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2834 {0x0990, 0xED02, WORD_LEN, 0 }, 
2835 {0x0992, 0x3838, WORD_LEN, 0 }, 
2836 {0x0994, 0x3838, WORD_LEN, 0 }, 
2837 {0x0996, 0x3139, WORD_LEN, 0 }, 
2838 {0x0998, 0x3736, WORD_LEN, 0 }, 
2839 {0x099A, 0x30EC, WORD_LEN, 0 }, 
2840 {0x099C, 0x041A, WORD_LEN, 0 }, 
2841 {0x099E, 0x8300, WORD_LEN, 0 }, 
2842 {0x098A, 0x0F4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2843 {0x0990, 0x4025, WORD_LEN, 0 }, 
2844 {0x0992, 0x22EC, WORD_LEN, 0 }, 
2845 {0x0994, 0x041A, WORD_LEN, 0 }, 
2846 {0x0996, 0x8300, WORD_LEN, 0 }, 
2847 {0x0998, 0x8024, WORD_LEN, 0 }, 
2848 {0x099A, 0x0504, WORD_LEN, 0 }, 
2849 {0x099C, 0xCA40, WORD_LEN, 0 }, 
2850 {0x099E, 0x2015, WORD_LEN, 0 }, 
2851 {0x098A, 0x0F5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2852 {0x0990, 0xEC04, WORD_LEN, 0 }, 
2853 {0x0992, 0x1A83, WORD_LEN, 0 }, 
2854 {0x0994, 0x0100, WORD_LEN, 0 }, 
2855 {0x0996, 0x2406, WORD_LEN, 0 }, 
2856 {0x0998, 0x0404, WORD_LEN, 0 }, 
2857 {0x099A, 0xCA80, WORD_LEN, 0 }, 
2858 {0x099C, 0x2007, WORD_LEN, 0 }, 
2859 {0x099E, 0xEC04, WORD_LEN, 0 }, 
2860 {0x098A, 0x0F6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2861 {0x0990, 0x0404, WORD_LEN, 0 }, 
2862 {0x0992, 0x04CA, WORD_LEN, 0 }, 
2863 {0x0994, 0xC0EE, WORD_LEN, 0 }, 
2864 {0x0996, 0x00ED, WORD_LEN, 0 }, 
2865 {0x0998, 0x0038, WORD_LEN, 0 }, 
2866 {0x099A, 0x3937, WORD_LEN, 0 }, 
2867 {0x099C, 0x363C, WORD_LEN, 0 }, 
2868 {0x099E, 0x301F, WORD_LEN, 0 }, 
2869 {0x098A, 0x0F7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2870 {0x0990, 0x0340, WORD_LEN, 0 }, 
2871 {0x0992, 0x0E1F, WORD_LEN, 0 }, 
2872 {0x0994, 0x0380, WORD_LEN, 0 }, 
2873 {0x0996, 0x0AEC, WORD_LEN, 0 }, 
2874 {0x0998, 0x02C4, WORD_LEN, 0 }, 
2875 {0x099A, 0x3F4F, WORD_LEN, 0 }, 
2876 {0x099C, 0x0505, WORD_LEN, 0 }, 
2877 {0x099E, 0x0520, WORD_LEN, 0 }, 
2878 {0x098A, 0x0F8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2879 {0x0990, 0x1B1F, WORD_LEN, 0 }, 
2880 {0x0992, 0x0380, WORD_LEN, 0 }, 
2881 {0x0994, 0x09EC, WORD_LEN, 0 }, 
2882 {0x0996, 0x02C4, WORD_LEN, 0 }, 
2883 {0x0998, 0x3F4F, WORD_LEN, 0 }, 
2884 {0x099A, 0x0505, WORD_LEN, 0 }, 
2885 {0x099C, 0x200E, WORD_LEN, 0 }, 
2886 {0x099E, 0x1F03, WORD_LEN, 0 }, 
2887 {0x098A, 0x0F9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2888 {0x0990, 0x4008, WORD_LEN, 0 }, 
2889 {0x0992, 0xEC02, WORD_LEN, 0 }, 
2890 {0x0994, 0xC43F, WORD_LEN, 0 }, 
2891 {0x0996, 0x4F05, WORD_LEN, 0 }, 
2892 {0x0998, 0x2002, WORD_LEN, 0 }, 
2893 {0x099A, 0xEC02, WORD_LEN, 0 }, 
2894 {0x099C, 0xED00, WORD_LEN, 0 }, 
2895 {0x099E, 0x3838, WORD_LEN, 0 }, 
2896 {0x098A, 0x8FAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2897 {0x0990, 0x0039, WORD_LEN, 0 },         // MCU_DATA_0
2898 {0x098A, 0x1000, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2899 {0x0990, 0xCC10, WORD_LEN, 0 }, 
2900 {0x0992, 0x09BD, WORD_LEN, 0 }, 
2901 {0x0994, 0x4224, WORD_LEN, 0 }, 
2902 {0x0996, 0x7E10, WORD_LEN, 0 }, 
2903 {0x0998, 0x09C6, WORD_LEN, 0 }, 
2904 {0x099A, 0x01F7, WORD_LEN, 0 }, 
2905 {0x099C, 0x018A, WORD_LEN, 0 }, 
2906 {0x099E, 0xC609, WORD_LEN, 0 }, 
2907 {0x098A, 0x1010, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2908 {0x0990, 0xF701, WORD_LEN, 0 }, 
2909 {0x0992, 0x8BDE, WORD_LEN, 0 }, 
2910 {0x0994, 0x3F18, WORD_LEN, 0 }, 
2911 {0x0996, 0xCE0B, WORD_LEN, 0 }, 
2912 {0x0998, 0xF3CC, WORD_LEN, 0 }, 
2913 {0x099A, 0x0011, WORD_LEN, 0 }, 
2914 {0x099C, 0xBDD7, WORD_LEN, 0 }, 
2915 {0x099E, 0x00CC, WORD_LEN, 0 }, 
2916 {0x098A, 0x1020, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2917 {0x0990, 0x0BF3, WORD_LEN, 0 }, 
2918 {0x0992, 0xDD3F, WORD_LEN, 0 }, 
2919 {0x0994, 0xDE35, WORD_LEN, 0 }, 
2920 {0x0996, 0x18CE, WORD_LEN, 0 }, 
2921 {0x0998, 0x0C05, WORD_LEN, 0 }, 
2922 {0x099A, 0xCC00, WORD_LEN, 0 }, 
2923 {0x099C, 0x3FBD, WORD_LEN, 0 }, 
2924 {0x099E, 0xD700, WORD_LEN, 0 }, 
2925 {0x098A, 0x1030, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2926 {0x0990, 0xCC0C, WORD_LEN, 0 }, 
2927 {0x0992, 0x05DD, WORD_LEN, 0 }, 
2928 {0x0994, 0x35DE, WORD_LEN, 0 }, 
2929 {0x0996, 0x4718, WORD_LEN, 0 }, 
2930 {0x0998, 0xCE0C, WORD_LEN, 0 }, 
2931 {0x099A, 0x45CC, WORD_LEN, 0 }, 
2932 {0x099C, 0x0015, WORD_LEN, 0 }, 
2933 {0x099E, 0xBDD7, WORD_LEN, 0 }, 
2934 {0x098A, 0x1040, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2935 {0x0990, 0x00CC, WORD_LEN, 0 }, 
2936 {0x0992, 0x0C45, WORD_LEN, 0 }, 
2937 {0x0994, 0xDD47, WORD_LEN, 0 }, 
2938 {0x0996, 0xFE00, WORD_LEN, 0 }, 
2939 {0x0998, 0x3318, WORD_LEN, 0 }, 
2940 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
2941 {0x099C, 0x5BCC, WORD_LEN, 0 }, 
2942 {0x099E, 0x0009, WORD_LEN, 0 }, 
2943 {0x098A, 0x1050, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2944 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
2945 {0x0992, 0x00CC, WORD_LEN, 0 }, 
2946 {0x0994, 0x0C5B, WORD_LEN, 0 }, 
2947 {0x0996, 0xFD00, WORD_LEN, 0 }, 
2948 {0x0998, 0x33DE, WORD_LEN, 0 }, 
2949 {0x099A, 0x3118, WORD_LEN, 0 }, 
2950 {0x099C, 0xCE0C, WORD_LEN, 0 }, 
2951 {0x099E, 0x65CC, WORD_LEN, 0 }, 
2952 {0x098A, 0x1060, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2953 {0x0990, 0x0029, WORD_LEN, 0 }, 
2954 {0x0992, 0xBDD7, WORD_LEN, 0 }, 
2955 {0x0994, 0x00CC, WORD_LEN, 0 }, 
2956 {0x0996, 0x0C65, WORD_LEN, 0 }, 
2957 {0x0998, 0xDD31, WORD_LEN, 0 }, 
2958 {0x099A, 0xDE39, WORD_LEN, 0 }, 
2959 {0x099C, 0x18CE, WORD_LEN, 0 }, 
2960 {0x099E, 0x0C8F, WORD_LEN, 0 }, 
2961 {0x098A, 0x1070, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2962 {0x0990, 0xCC00, WORD_LEN, 0 }, 
2963 {0x0992, 0x23BD, WORD_LEN, 0 }, 
2964 {0x0994, 0xD700, WORD_LEN, 0 }, 
2965 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
2966 {0x0998, 0x8FDD, WORD_LEN, 0 }, 
2967 {0x099A, 0x39DE, WORD_LEN, 0 }, 
2968 {0x099C, 0x4918, WORD_LEN, 0 }, 
2969 {0x099E, 0xCE0C, WORD_LEN, 0 }, 
2970 {0x098A, 0x1080, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2971 {0x0990, 0xB3CC, WORD_LEN, 0 }, 
2972 {0x0992, 0x000D, WORD_LEN, 0 }, 
2973 {0x0994, 0xBDD7, WORD_LEN, 0 }, 
2974 {0x0996, 0x00CC, WORD_LEN, 0 }, 
2975 {0x0998, 0x0CB3, WORD_LEN, 0 }, 
2976 {0x099A, 0xDD49, WORD_LEN, 0 }, 
2977 {0x099C, 0xFC04, WORD_LEN, 0 }, 
2978 {0x099E, 0xC2FD, WORD_LEN, 0 }, 
2979 {0x098A, 0x1090, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2980 {0x0990, 0x0BF1, WORD_LEN, 0 }, 
2981 {0x0992, 0x18FE, WORD_LEN, 0 }, 
2982 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
2983 {0x0996, 0xCDEE, WORD_LEN, 0 }, 
2984 {0x0998, 0x1518, WORD_LEN, 0 }, 
2985 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
2986 {0x099C, 0xC1CC, WORD_LEN, 0 }, 
2987 {0x099E, 0x0029, WORD_LEN, 0 }, 
2988 {0x098A, 0x10A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2989 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
2990 {0x0992, 0x00FE, WORD_LEN, 0 }, 
2991 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
2992 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
2993 {0x0998, 0xC1ED, WORD_LEN, 0 }, 
2994 {0x099A, 0x15CC, WORD_LEN, 0 }, 
2995 {0x099C, 0x11A5, WORD_LEN, 0 }, 
2996 {0x099E, 0xFD0B, WORD_LEN, 0 }, 
2997 {0x098A, 0x10B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
2998 {0x0990, 0xFFCC, WORD_LEN, 0 }, 
2999 {0x0992, 0x0CFB, WORD_LEN, 0 }, 
3000 {0x0994, 0xFD0C, WORD_LEN, 0 }, 
3001 {0x0996, 0x21CC, WORD_LEN, 0 }, 
3002 {0x0998, 0x128F, WORD_LEN, 0 }, 
3003 {0x099A, 0xFD0C, WORD_LEN, 0 }, 
3004 {0x099C, 0x53CC, WORD_LEN, 0 }, 
3005 {0x099E, 0x114E, WORD_LEN, 0 }, 
3006 {0x098A, 0x10C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3007 {0x0990, 0xFD0C, WORD_LEN, 0 }, 
3008 {0x0992, 0x5DCC, WORD_LEN, 0 }, 
3009 {0x0994, 0x10E2, WORD_LEN, 0 }, 
3010 {0x0996, 0xFD0C, WORD_LEN, 0 }, 
3011 {0x0998, 0x6FCC, WORD_LEN, 0 }, 
3012 {0x099A, 0x0EDD, WORD_LEN, 0 }, 
3013 {0x099C, 0xFD0C, WORD_LEN, 0 }, 
3014 {0x099E, 0xD7CC, WORD_LEN, 0 }, 
3015 {0x098A, 0x10D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3016 {0x0990, 0x0EBA, WORD_LEN, 0 }, 
3017 {0x0992, 0xFD0C, WORD_LEN, 0 }, 
3018 {0x0994, 0xE9CC, WORD_LEN, 0 }, 
3019 {0x0996, 0x1350, WORD_LEN, 0 }, 
3020 {0x0998, 0xFD0C, WORD_LEN, 0 }, 
3021 {0x099A, 0x9BCC, WORD_LEN, 0 }, 
3022 {0x099C, 0x0E29, WORD_LEN, 0 }, 
3023 {0x099E, 0xFD0C, WORD_LEN, 0 }, 
3024 {0x098A, 0x10E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3025 {0x0990, 0xBF39, WORD_LEN, 0 }, 
3026 {0x0992, 0x373C, WORD_LEN, 0 }, 
3027 {0x0994, 0x3CDE, WORD_LEN, 0 }, 
3028 {0x0996, 0x1DEC, WORD_LEN, 0 }, 
3029 {0x0998, 0x0C5F, WORD_LEN, 0 }, 
3030 {0x099A, 0x8402, WORD_LEN, 0 }, 
3031 {0x099C, 0x4416, WORD_LEN, 0 }, 
3032 {0x099E, 0x4FF7, WORD_LEN, 0 }, 
3033 {0x098A, 0x10F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3034 {0x0990, 0x0CEB, WORD_LEN, 0 }, 
3035 {0x0992, 0xE60B, WORD_LEN, 0 }, 
3036 {0x0994, 0xC407, WORD_LEN, 0 }, 
3037 {0x0996, 0xF70C, WORD_LEN, 0 }, 
3038 {0x0998, 0xEC7F, WORD_LEN, 0 }, 
3039 {0x099A, 0x30C4, WORD_LEN, 0 }, 
3040 {0x099C, 0xEC25, WORD_LEN, 0 }, 
3041 {0x099E, 0xFD30, WORD_LEN, 0 }, 
3042 {0x098A, 0x1100, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3043 {0x0990, 0xC5FC, WORD_LEN, 0 }, 
3044 {0x0992, 0x06D6, WORD_LEN, 0 }, 
3045 {0x0994, 0xFD30, WORD_LEN, 0 }, 
3046 {0x0996, 0xC701, WORD_LEN, 0 }, 
3047 {0x0998, 0xFC30, WORD_LEN, 0 }, 
3048 {0x099A, 0xC0FD, WORD_LEN, 0 }, 
3049 {0x099C, 0x0BED, WORD_LEN, 0 }, 
3050 {0x099E, 0xFC30, WORD_LEN, 0 }, 
3051 {0x098A, 0x1110, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3052 {0x0990, 0xC2FD, WORD_LEN, 0 }, 
3053 {0x0992, 0x0BEF, WORD_LEN, 0 }, 
3054 {0x0994, 0xFC04, WORD_LEN, 0 }, 
3055 {0x0996, 0xC283, WORD_LEN, 0 }, 
3056 {0x0998, 0xFFFF, WORD_LEN, 0 }, 
3057 {0x099A, 0x2728, WORD_LEN, 0 }, 
3058 {0x099C, 0xDE06, WORD_LEN, 0 }, 
3059 {0x099E, 0xEC22, WORD_LEN, 0 }, 
3060 {0x098A, 0x1120, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3061 {0x0990, 0x8322, WORD_LEN, 0 }, 
3062 {0x0992, 0x0026, WORD_LEN, 0 }, 
3063 {0x0994, 0x1FCC, WORD_LEN, 0 }, 
3064 {0x0996, 0x3064, WORD_LEN, 0 }, 
3065 {0x0998, 0x30ED, WORD_LEN, 0 }, 
3066 {0x099A, 0x008F, WORD_LEN, 0 }, 
3067 {0x099C, 0xC300, WORD_LEN, 0 }, 
3068 {0x099E, 0x02DE, WORD_LEN, 0 }, 
3069 {0x098A, 0x1130, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3070 {0x0990, 0x0CAD, WORD_LEN, 0 }, 
3071 {0x0992, 0x0030, WORD_LEN, 0 }, 
3072 {0x0994, 0x1D02, WORD_LEN, 0 }, 
3073 {0x0996, 0x01CC, WORD_LEN, 0 }, 
3074 {0x0998, 0x3064, WORD_LEN, 0 }, 
3075 {0x099A, 0xED00, WORD_LEN, 0 }, 
3076 {0x099C, 0x8FC3, WORD_LEN, 0 }, 
3077 {0x099E, 0x0002, WORD_LEN, 0 }, 
3078 {0x098A, 0x1140, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3079 {0x0990, 0xDE0E, WORD_LEN, 0 }, 
3080 {0x0992, 0xAD00, WORD_LEN, 0 }, 
3081 {0x0994, 0x30E6, WORD_LEN, 0 }, 
3082 {0x0996, 0x04BD, WORD_LEN, 0 }, 
3083 {0x0998, 0x5203, WORD_LEN, 0 }, 
3084 {0x099A, 0x3838, WORD_LEN, 0 }, 
3085 {0x099C, 0x3139, WORD_LEN, 0 }, 
3086 {0x099E, 0x3C3C, WORD_LEN, 0 }, 
3087 {0x098A, 0x1150, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3088 {0x0990, 0x3C21, WORD_LEN, 0 }, 
3089 {0x0992, 0x01CC, WORD_LEN, 0 }, 
3090 {0x0994, 0x0018, WORD_LEN, 0 }, 
3091 {0x0996, 0xBD6F, WORD_LEN, 0 }, 
3092 {0x0998, 0xD1C5, WORD_LEN, 0 }, 
3093 {0x099A, 0x0426, WORD_LEN, 0 }, 
3094 {0x099C, 0xF5DC, WORD_LEN, 0 }, 
3095 {0x099E, 0x2530, WORD_LEN, 0 }, 
3096 {0x098A, 0x1160, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3097 {0x0990, 0xED04, WORD_LEN, 0 }, 
3098 {0x0992, 0x2012, WORD_LEN, 0 }, 
3099 {0x0994, 0xEE04, WORD_LEN, 0 }, 
3100 {0x0996, 0x3C18, WORD_LEN, 0 }, 
3101 {0x0998, 0x38E6, WORD_LEN, 0 }, 
3102 {0x099A, 0x2118, WORD_LEN, 0 }, 
3103 {0x099C, 0xE7BE, WORD_LEN, 0 }, 
3104 {0x099E, 0x30EE, WORD_LEN, 0 }, 
3105 {0x098A, 0x1170, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3106 {0x0990, 0x04EC, WORD_LEN, 0 }, 
3107 {0x0992, 0x1D30, WORD_LEN, 0 }, 
3108 {0x0994, 0xED04, WORD_LEN, 0 }, 
3109 {0x0996, 0xEC04, WORD_LEN, 0 }, 
3110 {0x0998, 0x26EA, WORD_LEN, 0 }, 
3111 {0x099A, 0xCC00, WORD_LEN, 0 }, 
3112 {0x099C, 0x1AED, WORD_LEN, 0 }, 
3113 {0x099E, 0x02CC, WORD_LEN, 0 }, 
3114 {0x098A, 0x1180, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3115 {0x0990, 0xFBFF, WORD_LEN, 0 }, 
3116 {0x0992, 0xED00, WORD_LEN, 0 }, 
3117 {0x0994, 0xCC04, WORD_LEN, 0 }, 
3118 {0x0996, 0x00BD, WORD_LEN, 0 }, 
3119 {0x0998, 0x706D, WORD_LEN, 0 }, 
3120 {0x099A, 0xCC00, WORD_LEN, 0 }, 
3121 {0x099C, 0x1A30, WORD_LEN, 0 }, 
3122 {0x099E, 0xED02, WORD_LEN, 0 }, 
3123 {0x098A, 0x1190, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3124 {0x0990, 0xCCFB, WORD_LEN, 0 }, 
3125 {0x0992, 0xFFED, WORD_LEN, 0 }, 
3126 {0x0994, 0x005F, WORD_LEN, 0 }, 
3127 {0x0996, 0x4FBD, WORD_LEN, 0 }, 
3128 {0x0998, 0x706D, WORD_LEN, 0 }, 
3129 {0x099A, 0x5FBD, WORD_LEN, 0 }, 
3130 {0x099C, 0x5B17, WORD_LEN, 0 }, 
3131 {0x099E, 0xBD55, WORD_LEN, 0 }, 
3132 {0x098A, 0x11A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3133 {0x0990, 0x8B38, WORD_LEN, 0 }, 
3134 {0x0992, 0x3838, WORD_LEN, 0 }, 
3135 {0x0994, 0x393C, WORD_LEN, 0 }, 
3136 {0x0996, 0x3CC6, WORD_LEN, 0 }, 
3137 {0x0998, 0x40F7, WORD_LEN, 0 }, 
3138 {0x099A, 0x30C4, WORD_LEN, 0 }, 
3139 {0x099C, 0xFC0B, WORD_LEN, 0 }, 
3140 {0x099E, 0xEDFD, WORD_LEN, 0 }, 
3141 {0x098A, 0x11B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3142 {0x0990, 0x30C0, WORD_LEN, 0 }, 
3143 {0x0992, 0xFC0B, WORD_LEN, 0 }, 
3144 {0x0994, 0xEFFD, WORD_LEN, 0 }, 
3145 {0x0996, 0x30C2, WORD_LEN, 0 }, 
3146 {0x0998, 0xDE1D, WORD_LEN, 0 }, 
3147 {0x099A, 0xEC25, WORD_LEN, 0 }, 
3148 {0x099C, 0xFD30, WORD_LEN, 0 }, 
3149 {0x099E, 0xC501, WORD_LEN, 0 }, 
3150 {0x098A, 0x11C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3151 {0x0990, 0x0101, WORD_LEN, 0 }, 
3152 {0x0992, 0xFC30, WORD_LEN, 0 }, 
3153 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
3154 {0x0996, 0x06D6, WORD_LEN, 0 }, 
3155 {0x0998, 0xEC0C, WORD_LEN, 0 }, 
3156 {0x099A, 0x5F84, WORD_LEN, 0 }, 
3157 {0x099C, 0x0244, WORD_LEN, 0 }, 
3158 {0x099E, 0x164F, WORD_LEN, 0 }, 
3159 {0x098A, 0x11D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3160 {0x0990, 0x30E7, WORD_LEN, 0 }, 
3161 {0x0992, 0x03F1, WORD_LEN, 0 }, 
3162 {0x0994, 0x0CEB, WORD_LEN, 0 }, 
3163 {0x0996, 0x2715, WORD_LEN, 0 }, 
3164 {0x0998, 0xF10C, WORD_LEN, 0 }, 
3165 {0x099A, 0xEB23, WORD_LEN, 0 }, 
3166 {0x099C, 0x09FC, WORD_LEN, 0 }, 
3167 {0x099E, 0x06D6, WORD_LEN, 0 }, 
3168 {0x098A, 0x11E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3169 {0x0990, 0x04FD, WORD_LEN, 0 }, 
3170 {0x0992, 0x06D6, WORD_LEN, 0 }, 
3171 {0x0994, 0x2007, WORD_LEN, 0 }, 
3172 {0x0996, 0xFC06, WORD_LEN, 0 }, 
3173 {0x0998, 0xD605, WORD_LEN, 0 }, 
3174 {0x099A, 0xFD06, WORD_LEN, 0 }, 
3175 {0x099C, 0xD6DE, WORD_LEN, 0 }, 
3176 {0x099E, 0x1DE6, WORD_LEN, 0 }, 
3177 {0x098A, 0x11F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3178 {0x0990, 0x0BC4, WORD_LEN, 0 }, 
3179 {0x0992, 0x0730, WORD_LEN, 0 }, 
3180 {0x0994, 0xE702, WORD_LEN, 0 }, 
3181 {0x0996, 0xF10C, WORD_LEN, 0 }, 
3182 {0x0998, 0xEC27, WORD_LEN, 0 }, 
3183 {0x099A, 0x2C7D, WORD_LEN, 0 }, 
3184 {0x099C, 0x0CEC, WORD_LEN, 0 }, 
3185 {0x099E, 0x2727, WORD_LEN, 0 }, 
3186 {0x098A, 0x1200, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3187 {0x0990, 0x5D27, WORD_LEN, 0 }, 
3188 {0x0992, 0x247F, WORD_LEN, 0 }, 
3189 {0x0994, 0x30C4, WORD_LEN, 0 }, 
3190 {0x0996, 0xFC06, WORD_LEN, 0 }, 
3191 {0x0998, 0xD6FD, WORD_LEN, 0 }, 
3192 {0x099A, 0x30C5, WORD_LEN, 0 }, 
3193 {0x099C, 0xF60C, WORD_LEN, 0 }, 
3194 {0x099E, 0xEC4F, WORD_LEN, 0 }, 
3195 {0x098A, 0x1210, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3196 {0x0990, 0xFD30, WORD_LEN, 0 }, 
3197 {0x0992, 0xC7C6, WORD_LEN, 0 }, 
3198 {0x0994, 0x40F7, WORD_LEN, 0 }, 
3199 {0x0996, 0x30C4, WORD_LEN, 0 }, 
3200 {0x0998, 0xE602, WORD_LEN, 0 }, 
3201 {0x099A, 0x4FFD, WORD_LEN, 0 }, 
3202 {0x099C, 0x30C5, WORD_LEN, 0 }, 
3203 {0x099E, 0x0101, WORD_LEN, 0 }, 
3204 {0x098A, 0x1220, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3205 {0x0990, 0x01FC, WORD_LEN, 0 }, 
3206 {0x0992, 0x30C2, WORD_LEN, 0 }, 
3207 {0x0994, 0xFD06, WORD_LEN, 0 }, 
3208 {0x0996, 0xD67D, WORD_LEN, 0 }, 
3209 {0x0998, 0x06CB, WORD_LEN, 0 }, 
3210 {0x099A, 0x272E, WORD_LEN, 0 }, 
3211 {0x099C, 0xC640, WORD_LEN, 0 }, 
3212 {0x099E, 0xF730, WORD_LEN, 0 }, 
3213 {0x098A, 0x1230, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3214 {0x0990, 0xC4FC, WORD_LEN, 0 }, 
3215 {0x0992, 0x06C1, WORD_LEN, 0 }, 
3216 {0x0994, 0x04F3, WORD_LEN, 0 }, 
3217 {0x0996, 0x06D6, WORD_LEN, 0 }, 
3218 {0x0998, 0xED00, WORD_LEN, 0 }, 
3219 {0x099A, 0x5F6D, WORD_LEN, 0 }, 
3220 {0x099C, 0x002A, WORD_LEN, 0 }, 
3221 {0x099E, 0x0153, WORD_LEN, 0 }, 
3222 {0x098A, 0x1240, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3223 {0x0990, 0x17FD, WORD_LEN, 0 }, 
3224 {0x0992, 0x30C0, WORD_LEN, 0 }, 
3225 {0x0994, 0xEC00, WORD_LEN, 0 }, 
3226 {0x0996, 0xFD30, WORD_LEN, 0 }, 
3227 {0x0998, 0xC2FC, WORD_LEN, 0 }, 
3228 {0x099A, 0x06C1, WORD_LEN, 0 }, 
3229 {0x099C, 0xFD30, WORD_LEN, 0 }, 
3230 {0x099E, 0xC501, WORD_LEN, 0 }, 
3231 {0x098A, 0x1250, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3232 {0x0990, 0x0101, WORD_LEN, 0 }, 
3233 {0x0992, 0xFC30, WORD_LEN, 0 }, 
3234 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
3235 {0x0996, 0x06C7, WORD_LEN, 0 }, 
3236 {0x0998, 0x2022, WORD_LEN, 0 }, 
3237 {0x099A, 0x7F30, WORD_LEN, 0 }, 
3238 {0x099C, 0xC4DE, WORD_LEN, 0 }, 
3239 {0x099E, 0x1DEC, WORD_LEN, 0 }, 
3240 {0x098A, 0x1260, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3241 {0x0990, 0x25FD, WORD_LEN, 0 }, 
3242 {0x0992, 0x30C5, WORD_LEN, 0 }, 
3243 {0x0994, 0xFC06, WORD_LEN, 0 }, 
3244 {0x0996, 0xD6FD, WORD_LEN, 0 }, 
3245 {0x0998, 0x30C7, WORD_LEN, 0 }, 
3246 {0x099A, 0x01FC, WORD_LEN, 0 }, 
3247 {0x099C, 0x30C0, WORD_LEN, 0 }, 
3248 {0x099E, 0xFD06, WORD_LEN, 0 }, 
3249 {0x098A, 0x1270, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3250 {0x0990, 0xD0FC, WORD_LEN, 0 }, 
3251 {0x0992, 0x30C2, WORD_LEN, 0 }, 
3252 {0x0994, 0xFD06, WORD_LEN, 0 }, 
3253 {0x0996, 0xD2EC, WORD_LEN, 0 }, 
3254 {0x0998, 0x25FD, WORD_LEN, 0 }, 
3255 {0x099A, 0x06C3, WORD_LEN, 0 }, 
3256 {0x099C, 0xBD95, WORD_LEN, 0 }, 
3257 {0x099E, 0x3CDE, WORD_LEN, 0 }, 
3258 {0x098A, 0x1280, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3259 {0x0990, 0x3FEE, WORD_LEN, 0 }, 
3260 {0x0992, 0x10AD, WORD_LEN, 0 }, 
3261 {0x0994, 0x00DE, WORD_LEN, 0 }, 
3262 {0x0996, 0x1DFC, WORD_LEN, 0 }, 
3263 {0x0998, 0x06CC, WORD_LEN, 0 }, 
3264 {0x099A, 0xED3E, WORD_LEN, 0 }, 
3265 {0x099C, 0x3838, WORD_LEN, 0 }, 
3266 {0x099E, 0x3930, WORD_LEN, 0 }, 
3267 {0x098A, 0x1290, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3268 {0x0990, 0x8FC3, WORD_LEN, 0 }, 
3269 {0x0992, 0xFFEC, WORD_LEN, 0 }, 
3270 {0x0994, 0x8F35, WORD_LEN, 0 }, 
3271 {0x0996, 0xBDAD, WORD_LEN, 0 }, 
3272 {0x0998, 0x15DE, WORD_LEN, 0 }, 
3273 {0x099A, 0x198F, WORD_LEN, 0 }, 
3274 {0x099C, 0xC301, WORD_LEN, 0 }, 
3275 {0x099E, 0x4B8F, WORD_LEN, 0 }, 
3276 {0x098A, 0x12A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3277 {0x0990, 0xEC00, WORD_LEN, 0 }, 
3278 {0x0992, 0xFD05, WORD_LEN, 0 }, 
3279 {0x0994, 0x0EEC, WORD_LEN, 0 }, 
3280 {0x0996, 0x02FD, WORD_LEN, 0 }, 
3281 {0x0998, 0x0510, WORD_LEN, 0 }, 
3282 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3283 {0x099C, 0xFFCB, WORD_LEN, 0 }, 
3284 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3285 {0x098A, 0x12B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3286 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3287 {0x0992, 0x0514, WORD_LEN, 0 }, 
3288 {0x0994, 0xE603, WORD_LEN, 0 }, 
3289 {0x0996, 0xF705, WORD_LEN, 0 }, 
3290 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3291 {0x099A, 0x055B, WORD_LEN, 0 }, 
3292 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3293 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3294 {0x098A, 0x12C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3295 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3296 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3297 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3298 {0x0996, 0x0516, WORD_LEN, 0 }, 
3299 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3300 {0x099A, 0xED04, WORD_LEN, 0 }, 
3301 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
3302 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
3303 {0x098A, 0x12D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3304 {0x0990, 0xFD05, WORD_LEN, 0 }, 
3305 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
3306 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
3307 {0x0996, 0x0510, WORD_LEN, 0 }, 
3308 {0x0998, 0xDE19, WORD_LEN, 0 }, 
3309 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3310 {0x099C, 0x0117, WORD_LEN, 0 }, 
3311 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3312 {0x098A, 0x12E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3313 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3314 {0x0992, 0x0514, WORD_LEN, 0 }, 
3315 {0x0994, 0xE603, WORD_LEN, 0 }, 
3316 {0x0996, 0xF705, WORD_LEN, 0 }, 
3317 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3318 {0x099A, 0x0559, WORD_LEN, 0 }, 
3319 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3320 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3321 {0x098A, 0x12F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3322 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3323 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3324 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3325 {0x0996, 0x0516, WORD_LEN, 0 }, 
3326 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3327 {0x099A, 0xED06, WORD_LEN, 0 }, 
3328 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
3329 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
3330 {0x098A, 0x1300, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3331 {0x0990, 0xFD05, WORD_LEN, 0 }, 
3332 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
3333 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
3334 {0x0996, 0x0510, WORD_LEN, 0 }, 
3335 {0x0998, 0xDE19, WORD_LEN, 0 }, 
3336 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
3337 {0x099C, 0x0118, WORD_LEN, 0 }, 
3338 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
3339 {0x098A, 0x1310, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3340 {0x0990, 0x00F7, WORD_LEN, 0 }, 
3341 {0x0992, 0x0514, WORD_LEN, 0 }, 
3342 {0x0994, 0xE603, WORD_LEN, 0 }, 
3343 {0x0996, 0xF705, WORD_LEN, 0 }, 
3344 {0x0998, 0x15FC, WORD_LEN, 0 }, 
3345 {0x099A, 0x0559, WORD_LEN, 0 }, 
3346 {0x099C, 0xFD05, WORD_LEN, 0 }, 
3347 {0x099E, 0x12DE, WORD_LEN, 0 }, 
3348 {0x098A, 0x1320, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3349 {0x0990, 0x37EE, WORD_LEN, 0 }, 
3350 {0x0992, 0x08AD, WORD_LEN, 0 }, 
3351 {0x0994, 0x00F6, WORD_LEN, 0 }, 
3352 {0x0996, 0x0516, WORD_LEN, 0 }, 
3353 {0x0998, 0x4F30, WORD_LEN, 0 }, 
3354 {0x099A, 0xED08, WORD_LEN, 0 }, 
3355 {0x099C, 0xCC32, WORD_LEN, 0 }, 
3356 {0x099E, 0x8EED, WORD_LEN, 0 }, 
3357 {0x098A, 0x1330, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3358 {0x0990, 0x00EC, WORD_LEN, 0 }, 
3359 {0x0992, 0x04BD, WORD_LEN, 0 }, 
3360 {0x0994, 0x7021, WORD_LEN, 0 }, 
3361 {0x0996, 0xCC32, WORD_LEN, 0 }, 
3362 {0x0998, 0x6C30, WORD_LEN, 0 }, 
3363 {0x099A, 0xED02, WORD_LEN, 0 }, 
3364 {0x099C, 0xCCF8, WORD_LEN, 0 }, 
3365 {0x099E, 0x00ED, WORD_LEN, 0 }, 
3366 {0x098A, 0x1340, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3367 {0x0990, 0x00A6, WORD_LEN, 0 }, 
3368 {0x0992, 0x07E3, WORD_LEN, 0 }, 
3369 {0x0994, 0x0884, WORD_LEN, 0 }, 
3370 {0x0996, 0x07BD, WORD_LEN, 0 }, 
3371 {0x0998, 0x706D, WORD_LEN, 0 }, 
3372 {0x099A, 0x30C6, WORD_LEN, 0 }, 
3373 {0x099C, 0x143A, WORD_LEN, 0 }, 
3374 {0x099E, 0x3539, WORD_LEN, 0 }, 
3375 {0x098A, 0x1350, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3376 {0x0990, 0x3CBD, WORD_LEN, 0 }, 
3377 {0x0992, 0x776D, WORD_LEN, 0 }, 
3378 {0x0994, 0xCC32, WORD_LEN, 0 }, 
3379 {0x0996, 0x5C30, WORD_LEN, 0 }, 
3380 {0x0998, 0xED00, WORD_LEN, 0 }, 
3381 {0x099A, 0xFC13, WORD_LEN, 0 }, 
3382 {0x099C, 0x8683, WORD_LEN, 0 }, 
3383 {0x099E, 0x0001, WORD_LEN, 0 }, 
3384 {0x098A, 0x1360, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3385 {0x0990, 0xBD70, WORD_LEN, 0 }, 
3386 {0x0992, 0x21CC, WORD_LEN, 0 }, 
3387 {0x0994, 0x325E, WORD_LEN, 0 }, 
3388 {0x0996, 0x30ED, WORD_LEN, 0 }, 
3389 {0x0998, 0x00FC, WORD_LEN, 0 }, 
3390 {0x099A, 0x1388, WORD_LEN, 0 }, 
3391 {0x099C, 0x8300, WORD_LEN, 0 }, 
3392 {0x099E, 0x01BD, WORD_LEN, 0 }, 
3393 {0x098A, 0x1370, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
3394 {0x0990, 0x7021, WORD_LEN, 0 }, 
3395 {0x0992, 0x3839, WORD_LEN, 0 }, 
3396 {0x098E, 0x0010, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ADDR]
3397 {0x0990, 0x1000, WORD_LEN, 0 },         // MCU_DATA_0
3398 {0x098E, 0x0003, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ALGO]
3399 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3400 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
3401 //  POLL  MON_PATCH_0 =>  0x01
3402 {0x098E, 0x4815, WORD_LEN, 0 },         // MCU_ADDRESS
3403 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3404 {0x098E, 0x485D, WORD_LEN, 0 },         // MCU_ADDRESS
3405 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
3406 {0x0018, 0x0028, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
3407 //  POLL  SEQ_STATE =>  0x01, 0x02, ..., 0x03 (11 reads)
3408 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
3409
3410 //af
3411
3412 {0x098E, 0x4403, WORD_LEN, 0 }, 
3413 {0x0990, 0x8001, WORD_LEN, 0 }, 
3414 {0x098E, 0x440B, WORD_LEN, 0 }, 
3415 {0x0990, 0x0032, WORD_LEN, 0 }, 
3416 {0x098E, 0x440D, WORD_LEN, 0 }, 
3417 {0x0990, 0x03B6, WORD_LEN, 0 }, 
3418 {0x098E, 0x8400, WORD_LEN, 0 }, 
3419 {0x0990, 0x0006, WORD_LEN, 0 }, 
3420
3421
3422 //[Lens Correction 90% 05/09/11 15:36:26]
3423 {0x3640, 0x0790, WORD_LEN, 0 },         // P_G1_P0Q0
3424 {0x3642, 0x078B, WORD_LEN, 0 },         // P_G1_P0Q1
3425 {0x3644, 0x3751, WORD_LEN, 0 },         // P_G1_P0Q2
3426 {0x3646, 0x66ED, WORD_LEN, 0 },         // P_G1_P0Q3
3427 {0x3648, 0xCB51, WORD_LEN, 0 },         // P_G1_P0Q4
3428 {0x364A, 0x00B0, WORD_LEN, 0 },         // P_R_P0Q0
3429 {0x364C, 0x2BCA, WORD_LEN, 0 },         // P_R_P0Q1
3430 {0x364E, 0x69D1, WORD_LEN, 0 },         // P_R_P0Q2
3431 {0x3650, 0x140E, WORD_LEN, 0 },         // P_R_P0Q3
3432 {0x3652, 0x98B1, WORD_LEN, 0 },         // P_R_P0Q4
3433 {0x3654, 0x00F0, WORD_LEN, 0 },         // P_B_P0Q0
3434 {0x3656, 0x47A9, WORD_LEN, 0 },         // P_B_P0Q1
3435 {0x3658, 0x7690, WORD_LEN, 0 },         // P_B_P0Q2
3436 {0x365A, 0x44CE, WORD_LEN, 0 },         // P_B_P0Q3
3437 {0x365C, 0x044C, WORD_LEN, 0 },         // P_B_P0Q4
3438 {0x365E, 0x0170, WORD_LEN, 0 },         // P_G2_P0Q0
3439 {0x3660, 0x3BAB, WORD_LEN, 0 },         // P_G2_P0Q1
3440 {0x3662, 0x2F31, WORD_LEN, 0 },         // P_G2_P0Q2
3441 {0x3664, 0x6A8C, WORD_LEN, 0 },         // P_G2_P0Q3
3442 {0x3666, 0xC811, WORD_LEN, 0 },         // P_G2_P0Q4
3443 {0x3680, 0xFD8A, WORD_LEN, 0 },         // P_G1_P1Q0
3444 {0x3682, 0xAC6F, WORD_LEN, 0 },         // P_G1_P1Q1
3445 {0x3684, 0x1EEE, WORD_LEN, 0 },         // P_G1_P1Q2
3446 {0x3686, 0x67B0, WORD_LEN, 0 },         // P_G1_P1Q3
3447 {0x3688, 0xF30F, WORD_LEN, 0 },         // P_G1_P1Q4
3448 {0x368A, 0x98CC, WORD_LEN, 0 },         // P_R_P1Q0
3449 {0x368C, 0x1AEF, WORD_LEN, 0 },         // P_R_P1Q1
3450 {0x368E, 0x01B0, WORD_LEN, 0 },         // P_R_P1Q2
3451 {0x3690, 0x3C8C, WORD_LEN, 0 },         // P_R_P1Q3
3452 {0x3692, 0x93F2, WORD_LEN, 0 },         // P_R_P1Q4
3453 {0x3694, 0x5808, WORD_LEN, 0 },         // P_B_P1Q0
3454 {0x3696, 0xA12F, WORD_LEN, 0 },         // P_B_P1Q1
3455 {0x3698, 0x1B8F, WORD_LEN, 0 },         // P_B_P1Q2
3456 {0x369A, 0x37D1, WORD_LEN, 0 },         // P_B_P1Q3
3457 {0x369C, 0xF931, WORD_LEN, 0 },         // P_B_P1Q4
3458 {0x369E, 0x128A, WORD_LEN, 0 },         // P_G2_P1Q0
3459 {0x36A0, 0x064F, WORD_LEN, 0 },         // P_G2_P1Q1
3460 {0x36A2, 0x2AAE, WORD_LEN, 0 },         // P_G2_P1Q2
3461 {0x36A4, 0xBE0F, WORD_LEN, 0 },         // P_G2_P1Q3
3462 {0x36A6, 0xEE90, WORD_LEN, 0 },         // P_G2_P1Q4
3463 {0x36C0, 0x1D12, WORD_LEN, 0 },         // P_G1_P2Q0
3464 {0x36C2, 0x95AB, WORD_LEN, 0 },         // P_G1_P2Q1
3465 {0x36C4, 0xDD8B, WORD_LEN, 0 },         // P_G1_P2Q2
3466 {0x36C6, 0x1EAC, WORD_LEN, 0 },         // P_G1_P2Q3
3467 {0x36C8, 0xC494, WORD_LEN, 0 },         // P_G1_P2Q4
3468 {0x36CA, 0x4A32, WORD_LEN, 0 },         // P_R_P2Q0
3469 {0x36CC, 0xB34E, WORD_LEN, 0 },         // P_R_P2Q1
3470 {0x36CE, 0x2691, WORD_LEN, 0 },         // P_R_P2Q2
3471 {0x36D0, 0x1BB1, WORD_LEN, 0 },         // P_R_P2Q3
3472 {0x36D2, 0xC7D5, WORD_LEN, 0 },         // P_R_P2Q4
3473 {0x36D4, 0x0EB2, WORD_LEN, 0 },         // P_B_P2Q0
3474 {0x36D6, 0xB42E, WORD_LEN, 0 },         // P_B_P2Q1
3475 {0x36D8, 0x0AB2, WORD_LEN, 0 },         // P_B_P2Q2
3476 {0x36DA, 0x08F1, WORD_LEN, 0 },         // P_B_P2Q3
3477 {0x36DC, 0xA295, WORD_LEN, 0 },         // P_B_P2Q4
3478 {0x36DE, 0x2112, WORD_LEN, 0 },         // P_G2_P2Q0
3479 {0x36E0, 0xBF2D, WORD_LEN, 0 },         // P_G2_P2Q1
3480 {0x36E2, 0x1E70, WORD_LEN, 0 },         // P_G2_P2Q2
3481 {0x36E4, 0xB36D, WORD_LEN, 0 },         // P_G2_P2Q3
3482 {0x36E6, 0x8275, WORD_LEN, 0 },         // P_G2_P2Q4
3483 {0x3700, 0xA86D, WORD_LEN, 0 },         // P_G1_P3Q0
3484 {0x3702, 0xF40E, WORD_LEN, 0 },         // P_G1_P3Q1
3485 {0x3704, 0xDE0F, WORD_LEN, 0 },         // P_G1_P3Q2
3486 {0x3706, 0x6790, WORD_LEN, 0 },         // P_G1_P3Q3
3487 {0x3708, 0x2A72, WORD_LEN, 0 },         // P_G1_P3Q4
3488 {0x370A, 0xE9CF, WORD_LEN, 0 },         // P_R_P3Q0
3489 {0x370C, 0x6C0F, WORD_LEN, 0 },         // P_R_P3Q1
3490 {0x370E, 0x2F30, WORD_LEN, 0 },         // P_R_P3Q2
3491 {0x3710, 0xDD33, WORD_LEN, 0 },         // P_R_P3Q3
3492 {0x3712, 0x64D1, WORD_LEN, 0 },         // P_R_P3Q4
3493 {0x3714, 0x974E, WORD_LEN, 0 },         // P_B_P3Q0
3494 {0x3716, 0x3A0E, WORD_LEN, 0 },         // P_B_P3Q1
3495 {0x3718, 0x580F, WORD_LEN, 0 },         // P_B_P3Q2
3496 {0x371A, 0xB0D0, WORD_LEN, 0 },         // P_B_P3Q3
3497 {0x371C, 0x17B3, WORD_LEN, 0 },         // P_B_P3Q4
3498 {0x371E, 0xA0CD, WORD_LEN, 0 },         // P_G2_P3Q0
3499 {0x3720, 0x1070, WORD_LEN, 0 },         // P_G2_P3Q1
3500 {0x3722, 0xD9D1, WORD_LEN, 0 },         // P_G2_P3Q2
3501 {0x3724, 0xC733, WORD_LEN, 0 },         // P_G2_P3Q3
3502 {0x3726, 0x7B93, WORD_LEN, 0 },         // P_G2_P3Q4
3503 {0x3740, 0xE870, WORD_LEN, 0 },         // P_G1_P4Q0
3504 {0x3742, 0x854A, WORD_LEN, 0 },         // P_G1_P4Q1
3505 {0x3744, 0xA936, WORD_LEN, 0 },         // P_G1_P4Q2
3506 {0x3746, 0xADF2, WORD_LEN, 0 },         // P_G1_P4Q3
3507 {0x3748, 0x2538, WORD_LEN, 0 },         // P_G1_P4Q4
3508 {0x374A, 0x9651, WORD_LEN, 0 },         // P_R_P4Q0
3509 {0x374C, 0x06D1, WORD_LEN, 0 },         // P_R_P4Q1
3510 {0x374E, 0xE676, WORD_LEN, 0 },         // P_R_P4Q2
3511 {0x3750, 0xC494, WORD_LEN, 0 },         // P_R_P4Q3
3512 {0x3752, 0x6318, WORD_LEN, 0 },         // P_R_P4Q4
3513 {0x3754, 0x0A0F, WORD_LEN, 0 },         // P_B_P4Q0
3514 {0x3756, 0x1DB2, WORD_LEN, 0 },         // P_B_P4Q1
3515 {0x3758, 0xBA36, WORD_LEN, 0 },         // P_B_P4Q2
3516 {0x375A, 0xC5D4, WORD_LEN, 0 },         // P_B_P4Q3
3517 {0x375C, 0x37B8, WORD_LEN, 0 },         // P_B_P4Q4
3518 {0x375E, 0x93B1, WORD_LEN, 0 },         // P_G2_P4Q0
3519 {0x3760, 0xBFAE, WORD_LEN, 0 },         // P_G2_P4Q1
3520 {0x3762, 0xC1B6, WORD_LEN, 0 },         // P_G2_P4Q2
3521 {0x3764, 0xB311, WORD_LEN, 0 },         // P_G2_P4Q3
3522 {0x3766, 0x3E98, WORD_LEN, 0 },         // P_G2_P4Q4
3523 {0x3782, 0x0304, WORD_LEN, 0 },         // CENTER_ROW
3524 {0x3784, 0x0404, WORD_LEN, 0 },         // CENTER_COLUMN
3525 {0x3210, 0x0008, WORD_LEN, 0 },  //PGA_ENABLE
3526
3527
3528
3529 //[PA Default]
3530 {0x3C20, 0x0000, WORD_LEN, 0 },          // TX_SS_CONTROL
3531 //[Brightness_Metric]
3532 {0x3210, 0x01B8, WORD_LEN, 0 },          // COLOR_PIPELINE_CONTROL
3533 {0x098E, 0xC913, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_STAT_BRIGHTNESS_METRIC_PREDIVIDER]
3534 {0x0990, 0x000A, WORD_LEN, 0 },          // MCU_DATA_0
3535 {0x098E, 0x686B, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_START_BRIGHTNESS]
3536 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3537 {0x098E, 0x686D, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_STOP_BRIGHTNESS]
3538 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3539 {0x098E, 0x6C6B, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_START_BRIGHTNESS]
3540 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3541 {0x098E, 0x6C6D, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_STOP_BRIGHTNESS]
3542 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3543 {0x098E, 0x3439, WORD_LEN, 0 },          // MCU_ADDRESS [AS_ASSTART_BRIGHTNESS]
3544 {0x0990, 0x05DC, WORD_LEN, 0 },          // MCU_DATA_0
3545 {0x098E, 0x343B, WORD_LEN, 0 },          // MCU_ADDRESS [AS_ASSTOP_BRIGHTNESS]
3546 {0x0990, 0x0BB8, WORD_LEN, 0 },          // MCU_DATA_0
3547 {0x098E, 0x4926, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_START_GAMMA_BM]
3548 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3549 {0x098E, 0x4928, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_MID_GAMMA_BM]
3550 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3551 {0x098E, 0x492A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_STOP_GAMMA_BM]
3552 {0x0990, 0x0656, WORD_LEN, 0 },          // MCU_DATA_0
3553 {0x098E, 0x4D26, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_START_GAMMA_BM]
3554 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3555 {0x098E, 0x4D28, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_MID_GAMMA_BM]
3556 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3557 {0x098E, 0x4D2A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM2_LL_STOP_GAMMA_BM]
3558 {0x0990, 0x0656, WORD_LEN, 0 },          // MCU_DATA_0
3559
3560
3561 //[FW kernel]
3562 {0x33F4, 0x040B, WORD_LEN, 0 },          // KERNEL_CONFIG
3563
3564
3565 //[Demosaic]
3566 {0x098E, 0xC916, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_0]
3567 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
3568 {0x098E, 0xC919, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_0]
3569 {0x0990, 0x0028, WORD_LEN, 0 },          // MCU_DATA_0
3570
3571
3572
3573
3574 //[Aperture]
3575 {0x098E, 0xC917, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_1]
3576 {0x0990, 0x0004, WORD_LEN, 0 },          // MCU_DATA_0
3577 {0x098E, 0xC918, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_START_2]
3578 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3579 {0x098E, 0xC91A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_1]
3580 {0x0990, 0x0001, WORD_LEN, 0 },          // MCU_DATA_0
3581 {0x098E, 0xC91B, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_LL_STOP_2]
3582 {0x0990, 0x0009, WORD_LEN, 0 },          // MCU_DATA_0
3583 {0x326C, 0x0C00, WORD_LEN, 0 },          // APERTURE_PARAMETERS_2D
3584
3585 {0x098E, 0x6865, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
3586 {0x0990, 0x00E0, WORD_LEN, 0 },          // MCU_DATA_0
3587 //{0x098E, 0x6C65, WORD_LEN, 0 },        // MCU_ADDRESS [PRI_B_CONFIG_LL_ALGO_ENTER]
3588 //{0x0990, 0x00E0, WORD_LEN, 0 },        // MCU_DATA_0
3589
3590
3591
3592 //Noise reduction RN compensation
3593
3594 {0x098E, 0x494B, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_EXT_START_GAIN_METRIC]
3595 {0x0990, 0x0042, WORD_LEN, 0 },      // MCU_DATA_0
3596 {0x098E, 0x494D, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_EXT_STOP_GAIN_METRIC]
3597 {0x0990, 0x012C, WORD_LEN, 0 },      // MCU_DATA_0
3598 {0x098E, 0xC91E, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_0]
3599 {0x0990, 0x0012, WORD_LEN, 0 },      // MCU_DATA_0
3600 {0x098E, 0xC91F, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_1]
3601 {0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0
3602 {0x098E, 0xC920, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_2]
3603 {0x0990, 0x0012, WORD_LEN, 0 },      // MCU_DATA_0
3604 {0x098E, 0xC921, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_START_3]
3605 {0x0990, 0x000A, WORD_LEN, 0 },      // MCU_DATA_0
3606 {0x098E, 0xC922, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_0]
3607 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3608 {0x098E, 0xC923, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_1]
3609 {0x0990, 0x001E, WORD_LEN, 0 },      // MCU_DATA_0
3610 {0x098E, 0xC924, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_2]
3611 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3612 {0x098E, 0xC925, WORD_LEN, 0 },      // MCU_ADDRESS [CAM1_LL_NR_STOP_3]
3613 {0x0990, 0x0026, WORD_LEN, 0 },      // MCU_DATA_0
3614 {0x316C, 0x350F, WORD_LEN, 0 },      // DAC_TXLO
3615 //Noise Reduction
3616 {0x098E, 0xBC31, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
3617 {0x0990, 0x0000, WORD_LEN, 0 },      // MCU_DATA_0
3618 {0x098E, 0xBC32, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
3619 {0x0990, 0x000D, WORD_LEN, 0 },      // MCU_DATA_0
3620 {0x098E, 0xBC33, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
3621 {0x0990, 0x0019, WORD_LEN, 0 },      // MCU_DATA_0
3622 {0x098E, 0xBC34, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
3623 {0x0990, 0x0030, WORD_LEN, 0 },      // MCU_DATA_0
3624 {0x098E, 0xBC35, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
3625 {0x0990, 0x0056, WORD_LEN, 0 },      // MCU_DATA_0
3626 {0x098E, 0xBC36, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
3627 {0x0990, 0x0070, WORD_LEN, 0 },      // MCU_DATA_0
3628 {0x098E, 0xBC37, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
3629 {0x0990, 0x0081, WORD_LEN, 0 },      // MCU_DATA_0
3630 {0x098E, 0xBC38, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
3631 {0x0990, 0x0090, WORD_LEN, 0 },      // MCU_DATA_0
3632 {0x098E, 0xBC39, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
3633 {0x0990, 0x009E, WORD_LEN, 0 },      // MCU_DATA_0
3634 {0x098E, 0xBC3A, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
3635 {0x0990, 0x00AB, WORD_LEN, 0 },      // MCU_DATA_0
3636 {0x098E, 0xBC3B, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
3637 {0x0990, 0x00B6, WORD_LEN, 0 },      // MCU_DATA_0
3638 {0x098E, 0xBC3C, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
3639 {0x0990, 0x00C1, WORD_LEN, 0 },      // MCU_DATA_0
3640 {0x098E, 0xBC3D, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
3641 {0x0990, 0x00CB, WORD_LEN, 0 },      // MCU_DATA_0
3642 {0x098E, 0xBC3E, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
3643 {0x0990, 0x00D5, WORD_LEN, 0 },      // MCU_DATA_0
3644 {0x098E, 0xBC3F, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
3645 {0x0990, 0x00DE, WORD_LEN, 0 },      // MCU_DATA_0
3646 {0x098E, 0xBC40, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
3647 {0x0990, 0x00E7, WORD_LEN, 0 },      // MCU_DATA_0
3648 {0x098E, 0xBC41, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
3649 {0x0990, 0x00EF, WORD_LEN, 0 },      // MCU_DATA_0
3650 {0x098E, 0xBC42, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
3651 {0x0990, 0x00F7, WORD_LEN, 0 },      // MCU_DATA_0
3652 {0x098E, 0xBC43, WORD_LEN, 0 },      // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
3653 {0x0990, 0x00FF, WORD_LEN, 0 },      // MCU_DATA_0
3654
3655
3656
3657
3658
3659
3660
3661 //[Defect correction]
3662
3663
3664 {0x098E, 0xBC02, WORD_LEN, 0 },          // MCU_ADDRESS [LL_MODE]
3665 {0x0990, 0x0003, WORD_LEN, 0 },          // MCU_DATA_0
3666 {0x098E, 0x6867, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
3667 {0x0990, 0x00F4, WORD_LEN, 0 },          // MCU_DATA_0
3668
3669 {0x098E, 0xBC05, WORD_LEN, 0 },          // MCU_ADDRESS [LL_CLUSTER_DC_TH]
3670 {0x0990, 0x000E, WORD_LEN, 0 },          // MCU_DATA_0
3671
3672 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
3673 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
3674
3675
3676
3677 //[Position dependent GRB]
3678 {0x098E, 0xC950, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_1]
3679 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3680 {0x098E, 0xC94F, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_0]
3681 {0x0990, 0x0038, WORD_LEN, 0 },          // MCU_DATA_0
3682 {0x098E, 0xC952, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_3]
3683 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3684 {0x098E, 0xC951, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_2]
3685 {0x0990, 0x0051, WORD_LEN, 0 },          // MCU_DATA_0
3686 {0x098E, 0xC954, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_5]
3687 {0x0990, 0x0010, WORD_LEN, 0 },          // MCU_DATA_0
3688 {0x098E, 0xC953, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_4]
3689 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3690 {0x098E, 0xC956, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_7]
3691 {0x0990, 0x0010, WORD_LEN, 0 },          // MCU_DATA_0
3692 {0x098E, 0xC955, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_START_6]
3693 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3694 {0x098E, 0xC958, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_1]
3695 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3696 {0x098E, 0xC957, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_0]
3697 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
3698 {0x098E, 0xC95A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_3]
3699 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3700 {0x098E, 0xC959, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_2]
3701 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
3702 {0x098E, 0xC95C, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_5]
3703 {0x0990, 0x000C, WORD_LEN, 0 },          // MCU_DATA_0
3704 {0x098E, 0xC95B, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_4]
3705 {0x0990, 0x0008, WORD_LEN, 0 },          // MCU_DATA_0
3706 {0x098E, 0xC95E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_7]
3707 {0x0990, 0x000C, WORD_LEN, 0 },          // MCU_DATA_0
3708 {0x098E, 0xC95D, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_THRESHOLDS_STOP_6]
3709 {0x0990, 0x0008, WORD_LEN, 0 },          // MCU_DATA_0
3710 {0x098E, 0xC95F, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_EXT_GRB_WINDOW_PERCENT]
3711 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
3712
3713
3714 //[dark delta ccm settings]
3715 //[grayscale unity]
3716 {0x098E, 0x48DC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_0]
3717 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3718 {0x098E, 0x48DE, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_1]
3719 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3720 {0x098E, 0x48E0, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_2]
3721 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3722 {0x098E, 0x48E2, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_3]
3723 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3724 {0x098E, 0x48E4, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_4]
3725 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3726 {0x098E, 0x48E6, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_5]
3727 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3728 {0x098E, 0x48E8, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_6]
3729 {0x0990, 0x004D, WORD_LEN, 0 },          // MCU_DATA_0
3730 {0x098E, 0x48EA, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_7]
3731 {0x0990, 0x0096, WORD_LEN, 0 },          // MCU_DATA_0
3732 {0x098E, 0x48EC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_LL_CCM_8]
3733 {0x0990, 0x001D, WORD_LEN, 0 },          // MCU_DATA_0
3734
3735
3736 //[darkccm_range_rev3]
3737 {0x098E, 0xDC2A, WORD_LEN, 0 },          // MCU_ADDRESS [SYS_DELTA_GAIN]
3738 {0x0990, 0x000B, WORD_LEN, 0 },          // MCU_DATA_0
3739 {0x098E, 0xDC2B, WORD_LEN, 0 },          // MCU_ADDRESS [SYS_DELTA_THRESH]
3740 {0x0990, 0x0017, WORD_LEN, 0 },          // MCU_DATA_0
3741
3742
3743
3744 //[Gamma Correction sRGB]
3745 {0x098E, 0xBC0B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_0]
3746 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3747 {0x098E, 0xBC0C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_1]
3748 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
3749 {0x098E, 0xBC0D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_2]
3750 {0x0990, 0x002A, WORD_LEN, 0 },          // MCU_DATA_0
3751 {0x098E, 0xBC0E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_3]
3752 {0x0990, 0x003E, WORD_LEN, 0 },          // MCU_DATA_0
3753 {0x098E, 0xBC0F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_4]
3754 {0x0990, 0x005A, WORD_LEN, 0 },          // MCU_DATA_0
3755 {0x098E, 0xBC10, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_5]
3756 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3757 {0x098E, 0xBC11, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_6]
3758 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3759 {0x098E, 0xBC12, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_7]
3760 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3761 {0x098E, 0xBC13, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_8]
3762 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3763 {0x098E, 0xBC14, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_9]
3764 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3765 {0x098E, 0xBC15, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_10]
3766 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3767 {0x098E, 0xBC16, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_11]
3768 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3769 {0x098E, 0xBC17, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_12]
3770 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3771 {0x098E, 0xBC18, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_13]
3772 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3773 {0x098E, 0xBC19, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_14]
3774 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3775 {0x098E, 0xBC1A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_15]
3776 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3777 {0x098E, 0xBC1B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_16]
3778 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3779 {0x098E, 0xBC1C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_17]
3780 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3781 {0x098E, 0xBC1D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_CONTRAST_CURVE_18]
3782 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3783
3784 {0x098E, 0xBC1E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_0]
3785 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3786 {0x098E, 0xBC1F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_1]
3787 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
3788 {0x098E, 0xBC20, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_2]
3789 {0x0990, 0x002A, WORD_LEN, 0 },          // MCU_DATA_0
3790 {0x098E, 0xBC21, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_3]
3791 {0x0990, 0x003E, WORD_LEN, 0 },          // MCU_DATA_0
3792 {0x098E, 0xBC22, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_4]
3793 {0x0990, 0x005A, WORD_LEN, 0 },          // MCU_DATA_0
3794 {0x098E, 0xBC23, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_5]
3795 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3796 {0x098E, 0xBC24, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_6]
3797 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3798 {0x098E, 0xBC25, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_7]
3799 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3800 {0x098E, 0xBC26, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_8]
3801 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3802 {0x098E, 0xBC27, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_9]
3803 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3804 {0x098E, 0xBC28, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_10]
3805 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3806 {0x098E, 0xBC29, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_11]
3807 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3808 {0x098E, 0xBC2A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_12]
3809 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3810 {0x098E, 0xBC2B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_13]
3811 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3812 {0x098E, 0xBC2C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_14]
3813 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3814 {0x098E, 0xBC2D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_15]
3815 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3816 {0x098E, 0xBC2E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_16]
3817 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3818 {0x098E, 0xBC2F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_17]
3819 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3820 {0x098E, 0xBC30, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NEUTRAL_CURVE_18]
3821 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3822
3823 {0x098E, 0xBC31, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_0]
3824 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
3825 {0x098E, 0xBC32, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_1]
3826 {0x0990, 0x000D, WORD_LEN, 0 },          // MCU_DATA_0
3827 {0x098E, 0xBC33, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_2]
3828 {0x0990, 0x0019, WORD_LEN, 0 },          // MCU_DATA_0
3829 {0x098E, 0xBC34, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_3]
3830 {0x0990, 0x0030, WORD_LEN, 0 },          // MCU_DATA_0
3831 {0x098E, 0xBC35, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_4]
3832 {0x0990, 0x0056, WORD_LEN, 0 },          // MCU_DATA_0
3833 {0x098E, 0xBC36, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_5]
3834 {0x0990, 0x0070, WORD_LEN, 0 },          // MCU_DATA_0
3835 {0x098E, 0xBC37, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_6]
3836 {0x0990, 0x0081, WORD_LEN, 0 },          // MCU_DATA_0
3837 {0x098E, 0xBC38, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_7]
3838 {0x0990, 0x0090, WORD_LEN, 0 },          // MCU_DATA_0
3839 {0x098E, 0xBC39, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_8]
3840 {0x0990, 0x009E, WORD_LEN, 0 },          // MCU_DATA_0
3841 {0x098E, 0xBC3A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_9]
3842 {0x0990, 0x00AB, WORD_LEN, 0 },          // MCU_DATA_0
3843 {0x098E, 0xBC3B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_10]
3844 {0x0990, 0x00B6, WORD_LEN, 0 },          // MCU_DATA_0
3845 {0x098E, 0xBC3C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_11]
3846 {0x0990, 0x00C1, WORD_LEN, 0 },          // MCU_DATA_0
3847 {0x098E, 0xBC3D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_12]
3848 {0x0990, 0x00CB, WORD_LEN, 0 },          // MCU_DATA_0
3849 {0x098E, 0xBC3E, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_13]
3850 {0x0990, 0x00D5, WORD_LEN, 0 },          // MCU_DATA_0
3851 {0x098E, 0xBC3F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_14]
3852 {0x0990, 0x00DE, WORD_LEN, 0 },          // MCU_DATA_0
3853 {0x098E, 0xBC40, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_15]
3854 {0x0990, 0x00E7, WORD_LEN, 0 },          // MCU_DATA_0
3855 {0x098E, 0xBC41, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_16]
3856 {0x0990, 0x00EF, WORD_LEN, 0 },          // MCU_DATA_0
3857 {0x098E, 0xBC42, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_17]
3858 {0x0990, 0x00F7, WORD_LEN, 0 },          // MCU_DATA_0
3859 {0x098E, 0xBC43, WORD_LEN, 0 },          // MCU_ADDRESS [LL_GAMMA_NRCURVE_18]
3860 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
3861
3862
3863
3864 //[TC Initialize]
3865 {0x098E, 0x6865, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_ENTER]
3866 {0x0990, 0x00E0, WORD_LEN, 0 },          // MCU_DATA_0
3867 {0x098E, 0x6867, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_ALGO_RUN]
3868 {0x0990, 0x00F4, WORD_LEN, 0 },          // MCU_DATA_0
3869
3870 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
3871 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
3872
3873
3874 {0x098E, 0xBC4A, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_HIGH]
3875 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3876 {0x098E, 0xBC4B, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_MED]
3877 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3878 {0x098E, 0xBC4C, WORD_LEN, 0 },          // MCU_ADDRESS [LL_TONAL_CURVE_LOW]
3879 {0x0990, 0x007F, WORD_LEN, 0 },          // MCU_DATA_0
3880
3881
3882 //[Total Curve]
3883 {0x3542, 0x0010, WORD_LEN, 0 },         // TONAL_X0
3884 {0x3544, 0x0030, WORD_LEN, 0 },         // TONAL_X1
3885 {0x3546, 0x0040, WORD_LEN, 0 },         // TONAL_X2
3886 {0x3548, 0x0080, WORD_LEN, 0 },         // TONAL_X3
3887 {0x354A, 0x0100, WORD_LEN, 0 },         // TONAL_X4
3888 {0x354C, 0x0200, WORD_LEN, 0 },         // TONAL_X5
3889 {0x354E, 0x0300, WORD_LEN, 0 },         // TONAL_X6
3890 {0x3550, 0x0010, WORD_LEN, 0 },         // TONAL_Y0
3891 {0x3552, 0x0030, WORD_LEN, 0 },         // TONAL_Y1
3892 {0x3554, 0x0040, WORD_LEN, 0 },         // TONAL_Y2
3893 {0x3556, 0x0080, WORD_LEN, 0 },         // TONAL_Y3
3894 {0x3558, 0x0100, WORD_LEN, 0 },         // TONAL_Y4
3895 {0x355A, 0x0200, WORD_LEN, 0 },         // TONAL_Y5
3896 {0x355C, 0x0300, WORD_LEN, 0 },         // TONAL_Y6
3897 {0x3560, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X0_MINUS_ZERO
3898 {0x3562, 0x0020, WORD_LEN, 0 },         // RECIPROCAL_OF_X1_MINUS_X0
3899 {0x3564, 0x0040, WORD_LEN, 0 },         // RECIPROCAL_OF_X2_MINUS_X1
3900 {0x3566, 0x0010, WORD_LEN, 0 },         // RECIPROCAL_OF_X3_MINUS_X2
3901 {0x3568, 0x0008, WORD_LEN, 0 },         // RECIPROCAL_OF_X4_MINUS_X3
3902 {0x356A, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X5_MINUS_X4
3903 {0x356C, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_X6_MINUS_X5
3904 {0x356E, 0x0004, WORD_LEN, 0 },         // RECIPROCAL_OF_400_MINUS_X6
3905
3906 //{0x3540, 0x0001, WORD_LEN, 0 },       // ENABLE_TONAL_CURVE
3907
3908 {0x098E, 0xBC08, WORD_LEN, 0 },         // MCU_ADDRESS [LL_GAMMA_SELECT]
3909 {0x0990, 0x0001, WORD_LEN, 0 },         // MCU_DATA_0 //0000
3910
3911
3912 //[Fade To Black]
3913 {0x098E, 0x3C4D, WORD_LEN, 0 },          // MCU_ADDRESS [LL_START_GAMMA_FTB]
3914 {0x0990, 0x0DAC, WORD_LEN, 0 },          // MCU_DATA_0
3915 {0x098E, 0x3C4F, WORD_LEN, 0 },          // MCU_ADDRESS [LL_STOP_GAMMA_FTB]
3916 {0x0990, 0x148A, WORD_LEN, 0 },          // MCU_DATA_0
3917
3918 //[awb_stat]
3919 {0x098E, 0xC911, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_STAT_LUMA_THRESH_HIGH]
3920 {0x0990, 0x00C8, WORD_LEN, 0 },          // MCU_DATA_0
3921
3922
3923 //[K26A Rev3 Largan798a weight table]
3924 {0x098E, 0xC8F4, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_XSCALE]
3925 {0x0990, 0x0004, WORD_LEN, 0 },          // MCU_DATA_0
3926 {0x098E, 0xC8F5, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_YSCALE]
3927 {0x0990, 0x0002, WORD_LEN, 0 },          // MCU_DATA_0
3928 {0x098E, 0x48F6, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_0]
3929 {0x0990, 0x3B4D, WORD_LEN, 0 },          // MCU_DATA_0
3930 {0x098E, 0x48F8, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_1]
3931 {0x0990, 0x6380, WORD_LEN, 0 },          // MCU_DATA_0
3932 {0x098E, 0x48FA, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_2]
3933 {0x0990, 0x9B18, WORD_LEN, 0 },          // MCU_DATA_0
3934 {0x098E, 0x48FC, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_3]
3935 {0x0990, 0x5D51, WORD_LEN, 0 },          // MCU_DATA_0
3936 {0x098E, 0x48FE, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_4]
3937 {0x0990, 0xEDE8, WORD_LEN, 0 },          // MCU_DATA_0
3938 {0x098E, 0x4900, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_5]
3939 {0x0990, 0xE515, WORD_LEN, 0 },          // MCU_DATA_0
3940 {0x098E, 0x4902, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_6]
3941 {0x0990, 0xBFF4, WORD_LEN, 0 },          // MCU_DATA_0
3942 {0x098E, 0x4904, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_WEIGHTS_7]
3943 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
3944 {0x098E, 0x4906, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_XSHIFT_PRE_ADJ]
3945 {0x0990, 0x0026, WORD_LEN, 0 },          // MCU_DATA_0
3946 {0x098E, 0x4908, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AWB_AWB_YSHIFT_PRE_ADJ]
3947 {0x0990, 0x0033, WORD_LEN, 0 },          // MCU_DATA_0
3948
3949 //[AWB_CCM Natural]
3950
3951 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
3952 {0x0990, 0x0180, WORD_LEN, 0 },         // MCU_DATA_0 
3953 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
3954 {0x0990, 0xFF7A, WORD_LEN, 0 },         // MCU_DATA_0 
3955 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
3956 {0x0990, 0x0018, WORD_LEN, 0 },         // MCU_DATA_0 
3957 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
3958 {0x0990, 0xFFCA, WORD_LEN, 0 },         // MCU_DATA_0 
3959 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
3960 {0x0990, 0x017C, WORD_LEN, 0 },         // MCU_DATA_0 
3961 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
3962 {0x0990, 0xFFCC, WORD_LEN, 0 },         // MCU_DATA_0 
3963 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
3964 {0x0990, 0x000C, WORD_LEN, 0 },         // MCU_DATA_0 
3965 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
3966 {0x0990, 0xFF1F, WORD_LEN, 0 },         // MCU_DATA_0 
3967 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
3968 {0x0990, 0x01E8, WORD_LEN, 0 },         // MCU_DATA_0 
3969 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
3970 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0 
3971 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
3972 {0x0990, 0x0044, WORD_LEN, 0 },         // MCU_DATA_0 
3973 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
3974 {0x0990, 0x0079, WORD_LEN, 0 },         // MCU_DATA_0 
3975 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
3976 {0x0990, 0xFFAD, WORD_LEN, 0 },         // MCU_DATA_0 
3977 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
3978 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0 
3979 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
3980 {0x0990, 0x0033, WORD_LEN, 0 },         // MCU_DATA_0 
3981 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
3982 {0x0990, 0x002A, WORD_LEN, 0 },         // MCU_DATA_0 
3983 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
3984 {0x0990, 0xFFAA, WORD_LEN, 0 },         // MCU_DATA_0 
3985 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
3986 {0x0990, 0x0017, WORD_LEN, 0 },         // MCU_DATA_0 
3987 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
3988 {0x0990, 0x004B, WORD_LEN, 0 },         // MCU_DATA_0 
3989 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
3990 {0x0990, 0xFFA5, WORD_LEN, 0 },         // MCU_DATA_0 
3991 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
3992 {0x0990, 0x0015, WORD_LEN, 0 },         // MCU_DATA_0 
3993 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
3994 {0x0990, 0xFFE2, WORD_LEN, 0 },         // MCU_DATA_0 
3995
3996 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
3997 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
3998 //{0x098E, 0xE84B, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_L]
3999 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4000 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
4001 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4002 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
4003 {0x0990, 0x0083, WORD_LEN, 0 },         // MCU_DATA_0
4004 //{0x098E, 0xE84E, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_R]
4005 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4006 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4007 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4008
4009 {0x098E, 0x8400, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4010 {0x0990, 0x0006, WORD_LEN, 0 },         // MCU_DATA_0
4011
4012 /*
4013 {0x098E, 0x48B0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
4014 {0x0990, 0x015F, WORD_LEN, 0 },         // MCU_DATA_0
4015 {0x098E, 0x48B2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
4016 {0x0990, 0xFFF8, WORD_LEN, 0 },         // MCU_DATA_0
4017 {0x098E, 0x48B4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
4018 {0x0990, 0x001A, WORD_LEN, 0 },         // MCU_DATA_0
4019 {0x098E, 0x48B6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
4020 {0x0990, 0xFFBA, WORD_LEN, 0 },         // MCU_DATA_0
4021 {0x098E, 0x48B8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
4022 {0x0990, 0x0171, WORD_LEN, 0 },         // MCU_DATA_0
4023 {0x098E, 0x48BA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
4024 {0x0990, 0xFFD0, WORD_LEN, 0 },         // MCU_DATA_0
4025 {0x098E, 0x48BC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
4026 {0x0990, 0x0023, WORD_LEN, 0 },         // MCU_DATA_0
4027 {0x098E, 0x48BE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
4028 {0x0990, 0xFF71, WORD_LEN, 0 },         // MCU_DATA_0
4029 {0x098E, 0x48C0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
4030 {0x0990, 0x0185, WORD_LEN, 0 },         // MCU_DATA_0
4031 {0x098E, 0x48C2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_9]
4032 {0x0990, 0x0020, WORD_LEN, 0 },         // MCU_DATA_0
4033 {0x098E, 0x48C4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_L_10]
4034 {0x0990, 0x0050, WORD_LEN, 0 },         // MCU_DATA_0
4035
4036 {0x098E, 0x48C6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
4037 {0x0990, 0xFEA1, WORD_LEN, 0 },         // MCU_DATA_0
4038 {0x098E, 0x48C8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
4039 {0x0990, 0x0008, WORD_LEN, 0 },         // MCU_DATA_0
4040 {0x098E, 0x48CA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
4041 {0x0990, 0xFFE6, WORD_LEN, 0 },         // MCU_DATA_0
4042 {0x098E, 0x48CC, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
4043 {0x0990, 0x0046, WORD_LEN, 0 },         // MCU_DATA_0
4044 {0x098E, 0x48CE, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
4045 {0x0990, 0xFE8F, WORD_LEN, 0 },         // MCU_DATA_0
4046 {0x098E, 0x48D0, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
4047 {0x0990, 0x0030, WORD_LEN, 0 },         // MCU_DATA_0
4048 {0x098E, 0x48D2, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
4049 {0x0990, 0xFFDD, WORD_LEN, 0 },         // MCU_DATA_0
4050 {0x098E, 0x48D4, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
4051 {0x0990, 0x008F, WORD_LEN, 0 },         // MCU_DATA_0
4052 {0x098E, 0x48D6, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
4053 {0x0990, 0xFE7B, WORD_LEN, 0 },         // MCU_DATA_0
4054 {0x098E, 0x48D8, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_9]
4055 {0x0990, 0xFFE0, WORD_LEN, 0 },         // MCU_DATA_0
4056 {0x098E, 0x48DA, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_AWB_CCM_RL_10]
4057 {0x0990, 0xFFB0, WORD_LEN, 0 },         // MCU_DATA_0
4058
4059
4060 {0x098E, 0xE84A, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_L]
4061 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4062 {0x098E, 0xE84B, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_L]
4063 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4064 {0x098E, 0xE84C, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_L]
4065 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4066 {0x098E, 0xE84D, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_R_R]
4067 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4068 {0x098E, 0xE84E, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_G_R]
4069 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4070 {0x098E, 0xE84F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AWB_K_B_R]
4071 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
4072 */
4073 //{0x098E, 0xC8EE, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MIN_R]
4074 //{0x0990, 0x0064, WORD_LEN, 0 },       // MCU_DATA_0
4075 //{0x098E, 0xC8EF, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MAX_R]
4076 //{0x0990, 0x0096, WORD_LEN, 0 },       // MCU_DATA_0
4077 //{0x098E, 0xC8F0, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MIN_B]
4078 //{0x0990, 0x0064, WORD_LEN, 0 },       // MCU_DATA_0
4079 //{0x098E, 0xC8F1, WORD_LEN, 0 },       // MCU_ADDRESS [CAM1_AWB_DGAIN_MAX_B]
4080 //{0x0990, 0x00DC, WORD_LEN, 0 },       // MCU_DATA_0
4081
4082
4083
4084 //{0x098E, 0xEC4A, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_R_L]
4085 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4086 //{0x098E, 0xEC4B, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_G_L]
4087 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4088 //{0x098E, 0xEC4C, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_B_L]
4089 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4090 //{0x098E, 0xEC4D, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_R_R]
4091 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4092 //{0x098E, 0xEC4E, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_G_R]
4093 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4094 //{0x098E, 0xEC4F, WORD_LEN, 0 },       // MCU_ADDRESS [PRI_B_CONFIG_AWB_K_B_R]
4095 //{0x0990, 0x0080, WORD_LEN, 0 },       // MCU_DATA_0
4096
4097 //[Saturation]
4098 {0x35A2, 0x0014, WORD_LEN, 0 },          // DARK_COLOR_KILL_CONTROLS
4099 {0x098E, 0xC949, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_DARK_COLOR_KILL]
4100 {0x0990, 0x0024, WORD_LEN, 0 },          // MCU_DATA_0
4101 {0x35A4, 0x0596, WORD_LEN, 0 },          // BRIGHT_COLOR_KILL_CONTROLS
4102 {0x098E, 0xC94A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_BRIGHT_COLORKILL]
4103 {0x0990, 0x0062, WORD_LEN, 0 },          // MCU_DATA_0
4104 {0x098E, 0xC948, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_SYS_UV_COLOR_BOOST]
4105 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4106 {0x098E, 0xC914, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_START_DESATURATION]
4107 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4108 {0x098E, 0xC915, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_LL_END_DESATURATION]
4109 {0x0990, 0x00FF, WORD_LEN, 0 },          // MCU_DATA_0
4110 {0x098E, 0xE86F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_START_SATURATION]
4111 {0x0990, 0x0060, WORD_LEN, 0 },          // MCU_DATA_0
4112 {0x098E, 0xE870, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_LL_END_SATURATION]
4113 {0x0990, 0x003C, WORD_LEN, 0 },          // MCU_DATA_0
4114 {0x098E, 0xEC6F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_START_SATURATION]
4115 {0x0990, 0x0060, WORD_LEN, 0 },          // MCU_DATA_0
4116 {0x098E, 0xEC70, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_LL_END_SATURATION]
4117 {0x0990, 0x003C, WORD_LEN, 0 },          // MCU_DATA_0
4118
4119 //[No Special Effects]
4120 {0x098E, 0xE883, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
4121 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4122 {0x098E, 0xEC83, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
4123 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4124
4125 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
4126 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4127
4128 {0x098E, 0xE885, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
4129 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
4130 {0x098E, 0xE886, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
4131 {0x0990, 0x00D8, WORD_LEN, 0 },          // MCU_DATA_0
4132 {0x098E, 0xEC85, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
4133 {0x0990, 0x001E, WORD_LEN, 0 },          // MCU_DATA_0
4134 {0x098E, 0xEC86, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
4135 {0x0990, 0x00D8, WORD_LEN, 0 },          // MCU_DATA_0
4136 {0x098E, 0xE884, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SOLARIZATION_TH]
4137 {0x0990, 0x005C, WORD_LEN, 0 },          // MCU_DATA_0
4138 {0x098E, 0xEC84, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SOLARIZATION_TH]
4139 {0x0990, 0x005C, WORD_LEN, 0 },          // MCU_DATA_0
4140
4141
4142 //[AS Normal]
4143 {0x098E, 0x490A, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_INTEG_SCALE_FIRST_PASS]
4144 {0x0990, 0x0666, WORD_LEN, 0 },          // MCU_DATA_0
4145 {0x098E, 0x490C, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_MIN_INT_TIME_FIRST_PASS]
4146 {0x0990, 0x0140, WORD_LEN, 0 },          // MCU_DATA_0
4147 {0x098E, 0x6857, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_IS_FEATURE_THRESHOLD]
4148 {0x0990, 0x0014, WORD_LEN, 0 },          // MCU_DATA_0
4149 {0x098E, 0x685C, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_IS_BLUR_INPUT_PARAMETER]
4150 {0x0990, 0x0005, WORD_LEN, 0 },          // MCU_DATA_0
4151 {0x098E, 0x490E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_AS_MAX_DIGITAL_GAIN_ALLOWED]
4152 {0x0990, 0x00A4, WORD_LEN, 0 },          // MCU_DATA_0
4153 {0x098E, 0xB43D, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_0]
4154 {0x0990, 0x0031, WORD_LEN, 0 },          // MCU_DATA_0
4155 {0x098E, 0xB43E, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_1]
4156 {0x0990, 0x001B, WORD_LEN, 0 },          // MCU_DATA_0
4157 {0x098E, 0xB43F, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_2]
4158 {0x0990, 0x0028, WORD_LEN, 0 },          // MCU_DATA_0
4159 {0x098E, 0xB440, WORD_LEN, 0 },          // MCU_ADDRESS [AS_START_ASVALUES_3]
4160 {0x0990, 0x0003, WORD_LEN, 0 },          // MCU_DATA_0
4161 {0x098E, 0xB441, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_0]
4162 {0x0990, 0x00CD, WORD_LEN, 0 },          // MCU_DATA_0
4163 {0x098E, 0xB442, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_1]
4164 {0x0990, 0x0064, WORD_LEN, 0 },          // MCU_DATA_0
4165 {0x098E, 0xB443, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_2]
4166 {0x0990, 0x000F, WORD_LEN, 0 },          // MCU_DATA_0
4167 {0x098E, 0xB444, WORD_LEN, 0 },          // MCU_ADDRESS [AS_STOP_ASVALUES_3]
4168 {0x0990, 0x0007, WORD_LEN, 0 },          // MCU_DATA_0
4169
4170
4171 //[Auto focus settings]
4172 /*{0x098E, 0x300D, WORD_LEN, 0 },        // MCU_ADDRESS [AF_FILTERS]
4173 {0x0990, 0x000F, WORD_LEN, 0 },          // MCU_DATA_0
4174 {0x098E, 0x3017, WORD_LEN, 0 },          // MCU_ADDRESS [AF_THRESHOLDS]
4175 {0x0990, 0x0F0F, WORD_LEN, 0 },          // MCU_DATA_0
4176
4177 {0x098E, 0x8400, WORD_LEN, 0 },          // MCU_ADDRESS [SEQ_CMD]
4178 {0x0990, 0x0006, WORD_LEN, 0 },          // MCU_DATA_0
4179
4180 {0x098E, 0xE81F, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
4181 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
4182 */
4183
4184 //[High Speed Overrides]
4185 {0x098E, 0x68A0, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4186 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4187 {0x098E, 0x6CA0, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4188 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4189 {0x098E, 0x70A0, WORD_LEN, 0 },          // MCU_ADDRESS [SEC_A_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4190 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4191 {0x098E, 0x74A0, WORD_LEN, 0 },          // MCU_ADDRESS [SEC_B_CONFIG_JPEG_OB_TX_CONTROL_VAR]
4192 {0x0990, 0x082E, WORD_LEN, 0 },          // MCU_DATA_0
4193 {0x3C52, 0x082E, WORD_LEN, 0 },          // RESERVED_TX_SS_3C52
4194 {0x098E, 0x488E, WORD_LEN, 0 },          // MCU_ADDRESS [CAM1_CTX_B_RX_FIFO_TRIGGER_MARK]
4195 {0x0990, 0x0020, WORD_LEN, 0 },          // MCU_DATA_0
4196 {0x098E, 0xECAC, WORD_LEN, 0 },          // MCU_ADDRESS [PRI_B_CONFIG_IO_OB_MANUAL_FLAG]
4197 {0x0990, 0x0000, WORD_LEN, 0 },          // MCU_DATA_0
4198
4199
4200
4201
4202 //[VAA_LOW_POWER]
4203 {0x3084, 0x2409, WORD_LEN, 0 },          // RESERVED_CORE_3084
4204 {0x3092, 0x0A49, WORD_LEN, 0 },          // RESERVED_CORE_3092
4205 {0x3094, 0x4949, WORD_LEN, 0 },          // RESERVED_CORE_3094
4206 {0x3096, 0x4950, WORD_LEN, 0 },          // RESERVED_CORE_3096
4207
4208
4209 //[Hot Pixels]
4210 {0x316C, 0x350F, WORD_LEN, 0 },          // RESERVED_CORE_316C
4211
4212
4213 //patch rev3
4214 {0x0982, 0x0000, WORD_LEN, 0 },         // ACCESS_CTL_STAT
4215 {0x098A, 0x0CFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4216 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
4217 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
4218 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
4219 {0x0996, 0x5F4F, WORD_LEN, 0 }, 
4220 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4221 {0x099A, 0x0AED, WORD_LEN, 0 }, 
4222 {0x099C, 0x08BD, WORD_LEN, 0 }, 
4223 {0x099E, 0x61D5, WORD_LEN, 0 }, 
4224 {0x098A, 0x0D0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4225 {0x0990, 0xCE04, WORD_LEN, 0 }, 
4226 {0x0992, 0xCD1F, WORD_LEN, 0 }, 
4227 {0x0994, 0x1702, WORD_LEN, 0 }, 
4228 {0x0996, 0x11CC, WORD_LEN, 0 }, 
4229 {0x0998, 0x332E, WORD_LEN, 0 }, 
4230 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4231 {0x099C, 0x02CC, WORD_LEN, 0 }, 
4232 {0x099E, 0xFFFD, WORD_LEN, 0 }, 
4233 {0x098A, 0x0D1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4234 {0x0990, 0xED00, WORD_LEN, 0 }, 
4235 {0x0992, 0xCC00, WORD_LEN, 0 }, 
4236 {0x0994, 0x02BD, WORD_LEN, 0 }, 
4237 {0x0996, 0x706D, WORD_LEN, 0 }, 
4238 {0x0998, 0x18DE, WORD_LEN, 0 }, 
4239 {0x099A, 0x1F18, WORD_LEN, 0 }, 
4240 {0x099C, 0x1F8E, WORD_LEN, 0 }, 
4241 {0x099E, 0x0110, WORD_LEN, 0 }, 
4242 {0x098A, 0x0D2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4243 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
4244 {0x0992, 0x5230, WORD_LEN, 0 }, 
4245 {0x0994, 0xED00, WORD_LEN, 0 }, 
4246 {0x0996, 0x18EC, WORD_LEN, 0 }, 
4247 {0x0998, 0xA0C4, WORD_LEN, 0 }, 
4248 {0x099A, 0xFDBD, WORD_LEN, 0 }, 
4249 {0x099C, 0x7021, WORD_LEN, 0 }, 
4250 {0x099E, 0x201E, WORD_LEN, 0 }, 
4251 {0x098A, 0x0D3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4252 {0x0990, 0xCC3C, WORD_LEN, 0 }, 
4253 {0x0992, 0x5230, WORD_LEN, 0 }, 
4254 {0x0994, 0xED00, WORD_LEN, 0 }, 
4255 {0x0996, 0xDE1F, WORD_LEN, 0 }, 
4256 {0x0998, 0xECA0, WORD_LEN, 0 }, 
4257 {0x099A, 0xBD70, WORD_LEN, 0 }, 
4258 {0x099C, 0x21CC, WORD_LEN, 0 }, 
4259 {0x099E, 0x3C52, WORD_LEN, 0 }, 
4260 {0x098A, 0x0D4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4261 {0x0990, 0x30ED, WORD_LEN, 0 }, 
4262 {0x0992, 0x02CC, WORD_LEN, 0 }, 
4263 {0x0994, 0xFFFC, WORD_LEN, 0 }, 
4264 {0x0996, 0xED00, WORD_LEN, 0 }, 
4265 {0x0998, 0xCC00, WORD_LEN, 0 }, 
4266 {0x099A, 0x02BD, WORD_LEN, 0 }, 
4267 {0x099C, 0x706D, WORD_LEN, 0 }, 
4268 {0x099E, 0xFC04, WORD_LEN, 0 }, 
4269 {0x098A, 0x0D5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4270 {0x0990, 0xE11A, WORD_LEN, 0 }, 
4271 {0x0992, 0x8300, WORD_LEN, 0 }, 
4272 {0x0994, 0x0127, WORD_LEN, 0 }, 
4273 {0x0996, 0x201A, WORD_LEN, 0 }, 
4274 {0x0998, 0x8300, WORD_LEN, 0 }, 
4275 {0x099A, 0x0427, WORD_LEN, 0 }, 
4276 {0x099C, 0x221A, WORD_LEN, 0 }, 
4277 {0x099E, 0x8300, WORD_LEN, 0 }, 
4278 {0x098A, 0x0D6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4279 {0x0990, 0x0827, WORD_LEN, 0 }, 
4280 {0x0992, 0x241A, WORD_LEN, 0 }, 
4281 {0x0994, 0x8300, WORD_LEN, 0 }, 
4282 {0x0996, 0x1027, WORD_LEN, 0 }, 
4283 {0x0998, 0x261A, WORD_LEN, 0 }, 
4284 {0x099A, 0x8300, WORD_LEN, 0 }, 
4285 {0x099C, 0x2027, WORD_LEN, 0 }, 
4286 {0x099E, 0x281A, WORD_LEN, 0 }, 
4287 {0x098A, 0x0D7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4288 {0x0990, 0x8300, WORD_LEN, 0 }, 
4289 {0x0992, 0x4027, WORD_LEN, 0 }, 
4290 {0x0994, 0x2A20, WORD_LEN, 0 }, 
4291 {0x0996, 0x2ECC, WORD_LEN, 0 }, 
4292 {0x0998, 0x001E, WORD_LEN, 0 }, 
4293 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4294 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4295 {0x099E, 0x26CC, WORD_LEN, 0 }, 
4296 {0x098A, 0x0D8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4297 {0x0990, 0x0022, WORD_LEN, 0 }, 
4298 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4299 {0x0994, 0x0A20, WORD_LEN, 0 }, 
4300 {0x0996, 0x1ECC, WORD_LEN, 0 }, 
4301 {0x0998, 0x0021, WORD_LEN, 0 }, 
4302 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4303 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4304 {0x099E, 0x16CC, WORD_LEN, 0 }, 
4305 {0x098A, 0x0D9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4306 {0x0990, 0x0020, WORD_LEN, 0 }, 
4307 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4308 {0x0994, 0x0A20, WORD_LEN, 0 }, 
4309 {0x0996, 0x0ECC, WORD_LEN, 0 }, 
4310 {0x0998, 0x002A, WORD_LEN, 0 }, 
4311 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4312 {0x099C, 0x0A20, WORD_LEN, 0 }, 
4313 {0x099E, 0x06CC, WORD_LEN, 0 }, 
4314 {0x098A, 0x0DAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4315 {0x0990, 0x002B, WORD_LEN, 0 }, 
4316 {0x0992, 0x30ED, WORD_LEN, 0 }, 
4317 {0x0994, 0x0ACC, WORD_LEN, 0 }, 
4318 {0x0996, 0x3400, WORD_LEN, 0 }, 
4319 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4320 {0x099A, 0x0034, WORD_LEN, 0 }, 
4321 {0x099C, 0xBD6F, WORD_LEN, 0 }, 
4322 {0x099E, 0xD184, WORD_LEN, 0 }, 
4323 {0x098A, 0x0DBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4324 {0x0990, 0x0330, WORD_LEN, 0 }, 
4325 {0x0992, 0xED07, WORD_LEN, 0 }, 
4326 {0x0994, 0xA60C, WORD_LEN, 0 }, 
4327 {0x0996, 0x4848, WORD_LEN, 0 }, 
4328 {0x0998, 0x5FED, WORD_LEN, 0 }, 
4329 {0x099A, 0x05EC, WORD_LEN, 0 }, 
4330 {0x099C, 0x07EA, WORD_LEN, 0 }, 
4331 {0x099E, 0x06AA, WORD_LEN, 0 }, 
4332 {0x098A, 0x0DCB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4333 {0x0990, 0x0531, WORD_LEN, 0 }, 
4334 {0x0992, 0xBD70, WORD_LEN, 0 }, 
4335 {0x0994, 0x21DE, WORD_LEN, 0 }, 
4336 {0x0996, 0x1F1F, WORD_LEN, 0 }, 
4337 {0x0998, 0x8E01, WORD_LEN, 0 }, 
4338 {0x099A, 0x08EC, WORD_LEN, 0 }, 
4339 {0x099C, 0x9B05, WORD_LEN, 0 }, 
4340 {0x099E, 0x30ED, WORD_LEN, 0 }, 
4341 {0x098A, 0x0DDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4342 {0x0990, 0x0820, WORD_LEN, 0 }, 
4343 {0x0992, 0x3BDE, WORD_LEN, 0 }, 
4344 {0x0994, 0x1FEC, WORD_LEN, 0 }, 
4345 {0x0996, 0x0783, WORD_LEN, 0 }, 
4346 {0x0998, 0x0040, WORD_LEN, 0 }, 
4347 {0x099A, 0x2628, WORD_LEN, 0 }, 
4348 {0x099C, 0x7F30, WORD_LEN, 0 }, 
4349 {0x099E, 0xC4CC, WORD_LEN, 0 }, 
4350 {0x098A, 0x0DEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4351 {0x0990, 0x3C68, WORD_LEN, 0 }, 
4352 {0x0992, 0xBD6F, WORD_LEN, 0 }, 
4353 {0x0994, 0xD1FD, WORD_LEN, 0 }, 
4354 {0x0996, 0x30C5, WORD_LEN, 0 }, 
4355 {0x0998, 0xCC01, WORD_LEN, 0 }, 
4356 {0x099A, 0xF4FD, WORD_LEN, 0 }, 
4357 {0x099C, 0x30C7, WORD_LEN, 0 }, 
4358 {0x099E, 0xC640, WORD_LEN, 0 }, 
4359 {0x098A, 0x0DFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4360 {0x0990, 0xF730, WORD_LEN, 0 }, 
4361 {0x0992, 0xC4CC, WORD_LEN, 0 }, 
4362 {0x0994, 0x0190, WORD_LEN, 0 }, 
4363 {0x0996, 0xFD30, WORD_LEN, 0 }, 
4364 {0x0998, 0xC501, WORD_LEN, 0 }, 
4365 {0x099A, 0x0101, WORD_LEN, 0 }, 
4366 {0x099C, 0xFC30, WORD_LEN, 0 }, 
4367 {0x099E, 0xC230, WORD_LEN, 0 }, 
4368 {0x098A, 0x0E0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4369 {0x0990, 0xED08, WORD_LEN, 0 }, 
4370 {0x0992, 0x200A, WORD_LEN, 0 }, 
4371 {0x0994, 0xCC3C, WORD_LEN, 0 }, 
4372 {0x0996, 0x68BD, WORD_LEN, 0 }, 
4373 {0x0998, 0x6FD1, WORD_LEN, 0 }, 
4374 {0x099A, 0x0530, WORD_LEN, 0 }, 
4375 {0x099C, 0xED08, WORD_LEN, 0 }, 
4376 {0x099E, 0xCC34, WORD_LEN, 0 }, 
4377 {0x098A, 0x0E1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4378 {0x0990, 0x08ED, WORD_LEN, 0 }, 
4379 {0x0992, 0x00EC, WORD_LEN, 0 }, 
4380 {0x0994, 0x08BD, WORD_LEN, 0 }, 
4381 {0x0996, 0x7021, WORD_LEN, 0 }, 
4382 {0x0998, 0x30C6, WORD_LEN, 0 }, 
4383 {0x099A, 0x0C3A, WORD_LEN, 0 }, 
4384 {0x099C, 0x3539, WORD_LEN, 0 }, 
4385 {0x099E, 0x373C, WORD_LEN, 0 }, 
4386 {0x098A, 0x0E2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4387 {0x0990, 0x3C3C, WORD_LEN, 0 }, 
4388 {0x0992, 0x34DE, WORD_LEN, 0 }, 
4389 {0x0994, 0x2FEE, WORD_LEN, 0 }, 
4390 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
4391 {0x0998, 0x007D, WORD_LEN, 0 }, 
4392 {0x099A, 0x13EF, WORD_LEN, 0 }, 
4393 {0x099C, 0x277C, WORD_LEN, 0 }, 
4394 {0x099E, 0xCE13, WORD_LEN, 0 }, 
4395 {0x098A, 0x0E3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4396 {0x0990, 0xE01E, WORD_LEN, 0 }, 
4397 {0x0992, 0x0510, WORD_LEN, 0 }, 
4398 {0x0994, 0x60E6, WORD_LEN, 0 }, 
4399 {0x0996, 0x0E4F, WORD_LEN, 0 }, 
4400 {0x0998, 0xC313, WORD_LEN, 0 }, 
4401 {0x099A, 0xF08F, WORD_LEN, 0 }, 
4402 {0x099C, 0xE600, WORD_LEN, 0 }, 
4403 {0x099E, 0x30E1, WORD_LEN, 0 }, 
4404 {0x098A, 0x0E4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4405 {0x0990, 0x0722, WORD_LEN, 0 }, 
4406 {0x0992, 0x16F6, WORD_LEN, 0 }, 
4407 {0x0994, 0x13EE, WORD_LEN, 0 }, 
4408 {0x0996, 0x4FC3, WORD_LEN, 0 }, 
4409 {0x0998, 0x13F3, WORD_LEN, 0 }, 
4410 {0x099A, 0x8FE6, WORD_LEN, 0 }, 
4411 {0x099C, 0x0030, WORD_LEN, 0 }, 
4412 {0x099E, 0xE107, WORD_LEN, 0 }, 
4413 {0x098A, 0x0E5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4414 {0x0990, 0x2507, WORD_LEN, 0 }, 
4415 {0x0992, 0xF613, WORD_LEN, 0 }, 
4416 {0x0994, 0xEEC1, WORD_LEN, 0 }, 
4417 {0x0996, 0x0325, WORD_LEN, 0 }, 
4418 {0x0998, 0x3C7F, WORD_LEN, 0 }, 
4419 {0x099A, 0x13EE, WORD_LEN, 0 }, 
4420 {0x099C, 0xF613, WORD_LEN, 0 }, 
4421 {0x099E, 0xEFE7, WORD_LEN, 0 }, 
4422 {0x098A, 0x0E6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4423 {0x0990, 0x06CC, WORD_LEN, 0 }, 
4424 {0x0992, 0x13F0, WORD_LEN, 0 }, 
4425 {0x0994, 0xED04, WORD_LEN, 0 }, 
4426 {0x0996, 0xCC13, WORD_LEN, 0 }, 
4427 {0x0998, 0xF320, WORD_LEN, 0 }, 
4428 {0x099A, 0x0F7C, WORD_LEN, 0 }, 
4429 {0x099C, 0x13EE, WORD_LEN, 0 }, 
4430 {0x099E, 0xEC04, WORD_LEN, 0 }, 
4431 {0x098A, 0x0E7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4432 {0x0990, 0xC300, WORD_LEN, 0 }, 
4433 {0x0992, 0x01ED, WORD_LEN, 0 }, 
4434 {0x0994, 0x04EC, WORD_LEN, 0 }, 
4435 {0x0996, 0x02C3, WORD_LEN, 0 }, 
4436 {0x0998, 0x0001, WORD_LEN, 0 }, 
4437 {0x099A, 0xED02, WORD_LEN, 0 }, 
4438 {0x099C, 0xF613, WORD_LEN, 0 }, 
4439 {0x099E, 0xEEE1, WORD_LEN, 0 }, 
4440 {0x098A, 0x0E8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4441 {0x0990, 0x0624, WORD_LEN, 0 }, 
4442 {0x0992, 0x12EE, WORD_LEN, 0 }, 
4443 {0x0994, 0x04E6, WORD_LEN, 0 }, 
4444 {0x0996, 0x0030, WORD_LEN, 0 }, 
4445 {0x0998, 0xE107, WORD_LEN, 0 }, 
4446 {0x099A, 0x22DF, WORD_LEN, 0 }, 
4447 {0x099C, 0xEE02, WORD_LEN, 0 }, 
4448 {0x099E, 0xE600, WORD_LEN, 0 }, 
4449 {0x098A, 0x0E9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4450 {0x0990, 0x30E1, WORD_LEN, 0 }, 
4451 {0x0992, 0x0725, WORD_LEN, 0 }, 
4452 {0x0994, 0xD6DE, WORD_LEN, 0 }, 
4453 {0x0996, 0x49EE, WORD_LEN, 0 }, 
4454 {0x0998, 0x08AD, WORD_LEN, 0 }, 
4455 {0x099A, 0x00CC, WORD_LEN, 0 }, 
4456 {0x099C, 0x13F6, WORD_LEN, 0 }, 
4457 {0x099E, 0x30ED, WORD_LEN, 0 }, 
4458 {0x098A, 0x0EAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4459 {0x0990, 0x00DE, WORD_LEN, 0 }, 
4460 {0x0992, 0x2FEE, WORD_LEN, 0 }, 
4461 {0x0994, 0x10CC, WORD_LEN, 0 }, 
4462 {0x0996, 0x13FA, WORD_LEN, 0 }, 
4463 {0x0998, 0xAD00, WORD_LEN, 0 }, 
4464 {0x099A, 0x3838, WORD_LEN, 0 }, 
4465 {0x099C, 0x3838, WORD_LEN, 0 }, 
4466 {0x099E, 0x3937, WORD_LEN, 0 }, 
4467 {0x098A, 0x0EBB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4468 {0x0990, 0x363C, WORD_LEN, 0 }, 
4469 {0x0992, 0x3C3C, WORD_LEN, 0 }, 
4470 {0x0994, 0x5F4F, WORD_LEN, 0 }, 
4471 {0x0996, 0x30ED, WORD_LEN, 0 }, 
4472 {0x0998, 0x04EC, WORD_LEN, 0 }, 
4473 {0x099A, 0x06ED, WORD_LEN, 0 }, 
4474 {0x099C, 0x008F, WORD_LEN, 0 }, 
4475 {0x099E, 0xC300, WORD_LEN, 0 }, 
4476 {0x098A, 0x0ECB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4477 {0x0990, 0x04BD, WORD_LEN, 0 }, 
4478 {0x0992, 0x0F43, WORD_LEN, 0 }, 
4479 {0x0994, 0x30EC, WORD_LEN, 0 }, 
4480 {0x0996, 0x04BD, WORD_LEN, 0 }, 
4481 {0x0998, 0x0F76, WORD_LEN, 0 }, 
4482 {0x099A, 0x30ED, WORD_LEN, 0 }, 
4483 {0x099C, 0x0238, WORD_LEN, 0 }, 
4484 {0x099E, 0x3838, WORD_LEN, 0 }, 
4485 {0x098A, 0x0EDB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4486 {0x0990, 0x3839, WORD_LEN, 0 }, 
4487 {0x0992, 0x373C, WORD_LEN, 0 }, 
4488 {0x0994, 0x3C3C, WORD_LEN, 0 }, 
4489 {0x0996, 0x3C30, WORD_LEN, 0 }, 
4490 {0x0998, 0xE608, WORD_LEN, 0 }, 
4491 {0x099A, 0x2712, WORD_LEN, 0 }, 
4492 {0x099C, 0xC101, WORD_LEN, 0 }, 
4493 {0x099E, 0x2713, WORD_LEN, 0 }, 
4494 {0x098A, 0x0EEB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4495 {0x0990, 0xC102, WORD_LEN, 0 }, 
4496 {0x0992, 0x2714, WORD_LEN, 0 }, 
4497 {0x0994, 0xC103, WORD_LEN, 0 }, 
4498 {0x0996, 0x2715, WORD_LEN, 0 }, 
4499 {0x0998, 0xC104, WORD_LEN, 0 }, 
4500 {0x099A, 0x2716, WORD_LEN, 0 }, 
4501 {0x099C, 0x2019, WORD_LEN, 0 }, 
4502 {0x099E, 0xCC30, WORD_LEN, 0 }, 
4503 {0x098A, 0x0EFB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4504 {0x0990, 0x5E20, WORD_LEN, 0 }, 
4505 {0x0992, 0x12CC, WORD_LEN, 0 }, 
4506 {0x0994, 0x305A, WORD_LEN, 0 }, 
4507 {0x0996, 0x200D, WORD_LEN, 0 }, 
4508 {0x0998, 0xCC30, WORD_LEN, 0 }, 
4509 {0x099A, 0x5620, WORD_LEN, 0 }, 
4510 {0x099C, 0x08CC, WORD_LEN, 0 }, 
4511 {0x099E, 0x305C, WORD_LEN, 0 }, 
4512 {0x098A, 0x0F0B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4513 {0x0990, 0x2003, WORD_LEN, 0 }, 
4514 {0x0992, 0xCC30, WORD_LEN, 0 }, 
4515 {0x0994, 0x58ED, WORD_LEN, 0 }, 
4516 {0x0996, 0x065F, WORD_LEN, 0 }, 
4517 {0x0998, 0x4FED, WORD_LEN, 0 }, 
4518 {0x099A, 0x04EC, WORD_LEN, 0 }, 
4519 {0x099C, 0x0BED, WORD_LEN, 0 }, 
4520 {0x099E, 0x008F, WORD_LEN, 0 }, 
4521 {0x098A, 0x0F1B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4522 {0x0990, 0xC300, WORD_LEN, 0 }, 
4523 {0x0992, 0x04BD, WORD_LEN, 0 }, 
4524 {0x0994, 0x0F43, WORD_LEN, 0 }, 
4525 {0x0996, 0x30EC, WORD_LEN, 0 }, 
4526 {0x0998, 0x048A, WORD_LEN, 0 }, 
4527 {0x099A, 0x02ED, WORD_LEN, 0 }, 
4528 {0x099C, 0x02EC, WORD_LEN, 0 }, 
4529 {0x099E, 0x06ED, WORD_LEN, 0 }, 
4530 {0x098A, 0x0F2B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4531 {0x0990, 0x008F, WORD_LEN, 0 }, 
4532 {0x0992, 0xC300, WORD_LEN, 0 }, 
4533 {0x0994, 0x02DE, WORD_LEN, 0 }, 
4534 {0x0996, 0x0EAD, WORD_LEN, 0 }, 
4535 {0x0998, 0x0030, WORD_LEN, 0 }, 
4536 {0x099A, 0xEC04, WORD_LEN, 0 }, 
4537 {0x099C, 0xBD0F, WORD_LEN, 0 }, 
4538 {0x099E, 0x7630, WORD_LEN, 0 }, 
4539 {0x098A, 0x0F3B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4540 {0x0990, 0xED02, WORD_LEN, 0 }, 
4541 {0x0992, 0x3838, WORD_LEN, 0 }, 
4542 {0x0994, 0x3838, WORD_LEN, 0 }, 
4543 {0x0996, 0x3139, WORD_LEN, 0 }, 
4544 {0x0998, 0x3736, WORD_LEN, 0 }, 
4545 {0x099A, 0x30EC, WORD_LEN, 0 }, 
4546 {0x099C, 0x041A, WORD_LEN, 0 }, 
4547 {0x099E, 0x8300, WORD_LEN, 0 }, 
4548 {0x098A, 0x0F4B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4549 {0x0990, 0x4025, WORD_LEN, 0 }, 
4550 {0x0992, 0x22EC, WORD_LEN, 0 }, 
4551 {0x0994, 0x041A, WORD_LEN, 0 }, 
4552 {0x0996, 0x8300, WORD_LEN, 0 }, 
4553 {0x0998, 0x8024, WORD_LEN, 0 }, 
4554 {0x099A, 0x0504, WORD_LEN, 0 }, 
4555 {0x099C, 0xCA40, WORD_LEN, 0 }, 
4556 {0x099E, 0x2015, WORD_LEN, 0 }, 
4557 {0x098A, 0x0F5B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4558 {0x0990, 0xEC04, WORD_LEN, 0 }, 
4559 {0x0992, 0x1A83, WORD_LEN, 0 }, 
4560 {0x0994, 0x0100, WORD_LEN, 0 }, 
4561 {0x0996, 0x2406, WORD_LEN, 0 }, 
4562 {0x0998, 0x0404, WORD_LEN, 0 }, 
4563 {0x099A, 0xCA80, WORD_LEN, 0 }, 
4564 {0x099C, 0x2007, WORD_LEN, 0 }, 
4565 {0x099E, 0xEC04, WORD_LEN, 0 }, 
4566 {0x098A, 0x0F6B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4567 {0x0990, 0x0404, WORD_LEN, 0 }, 
4568 {0x0992, 0x04CA, WORD_LEN, 0 }, 
4569 {0x0994, 0xC0EE, WORD_LEN, 0 }, 
4570 {0x0996, 0x00ED, WORD_LEN, 0 }, 
4571 {0x0998, 0x0038, WORD_LEN, 0 }, 
4572 {0x099A, 0x3937, WORD_LEN, 0 }, 
4573 {0x099C, 0x363C, WORD_LEN, 0 }, 
4574 {0x099E, 0x301F, WORD_LEN, 0 }, 
4575 {0x098A, 0x0F7B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4576 {0x0990, 0x0340, WORD_LEN, 0 }, 
4577 {0x0992, 0x0E1F, WORD_LEN, 0 }, 
4578 {0x0994, 0x0380, WORD_LEN, 0 }, 
4579 {0x0996, 0x0AEC, WORD_LEN, 0 }, 
4580 {0x0998, 0x02C4, WORD_LEN, 0 }, 
4581 {0x099A, 0x3F4F, WORD_LEN, 0 }, 
4582 {0x099C, 0x0505, WORD_LEN, 0 }, 
4583 {0x099E, 0x0520, WORD_LEN, 0 }, 
4584 {0x098A, 0x0F8B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4585 {0x0990, 0x1B1F, WORD_LEN, 0 }, 
4586 {0x0992, 0x0380, WORD_LEN, 0 }, 
4587 {0x0994, 0x09EC, WORD_LEN, 0 }, 
4588 {0x0996, 0x02C4, WORD_LEN, 0 }, 
4589 {0x0998, 0x3F4F, WORD_LEN, 0 }, 
4590 {0x099A, 0x0505, WORD_LEN, 0 }, 
4591 {0x099C, 0x200E, WORD_LEN, 0 }, 
4592 {0x099E, 0x1F03, WORD_LEN, 0 }, 
4593 {0x098A, 0x0F9B, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4594 {0x0990, 0x4008, WORD_LEN, 0 }, 
4595 {0x0992, 0xEC02, WORD_LEN, 0 }, 
4596 {0x0994, 0xC43F, WORD_LEN, 0 }, 
4597 {0x0996, 0x4F05, WORD_LEN, 0 }, 
4598 {0x0998, 0x2002, WORD_LEN, 0 }, 
4599 {0x099A, 0xEC02, WORD_LEN, 0 }, 
4600 {0x099C, 0xED00, WORD_LEN, 0 }, 
4601 {0x099E, 0x3838, WORD_LEN, 0 }, 
4602 {0x098A, 0x8FAB, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4603 {0x0990, 0x0039, WORD_LEN, 0 },         // MCU_DATA_0
4604 {0x098A, 0x1000, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4605 {0x0990, 0xCC10, WORD_LEN, 0 }, 
4606 {0x0992, 0x09BD, WORD_LEN, 0 }, 
4607 {0x0994, 0x4224, WORD_LEN, 0 }, 
4608 {0x0996, 0x7E10, WORD_LEN, 0 }, 
4609 {0x0998, 0x09C6, WORD_LEN, 0 }, 
4610 {0x099A, 0x01F7, WORD_LEN, 0 }, 
4611 {0x099C, 0x018A, WORD_LEN, 0 }, 
4612 {0x099E, 0xC609, WORD_LEN, 0 }, 
4613 {0x098A, 0x1010, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4614 {0x0990, 0xF701, WORD_LEN, 0 }, 
4615 {0x0992, 0x8BDE, WORD_LEN, 0 }, 
4616 {0x0994, 0x3F18, WORD_LEN, 0 }, 
4617 {0x0996, 0xCE0B, WORD_LEN, 0 }, 
4618 {0x0998, 0xF3CC, WORD_LEN, 0 }, 
4619 {0x099A, 0x0011, WORD_LEN, 0 }, 
4620 {0x099C, 0xBDD7, WORD_LEN, 0 }, 
4621 {0x099E, 0x00CC, WORD_LEN, 0 }, 
4622 {0x098A, 0x1020, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4623 {0x0990, 0x0BF3, WORD_LEN, 0 }, 
4624 {0x0992, 0xDD3F, WORD_LEN, 0 }, 
4625 {0x0994, 0xDE35, WORD_LEN, 0 }, 
4626 {0x0996, 0x18CE, WORD_LEN, 0 }, 
4627 {0x0998, 0x0C05, WORD_LEN, 0 }, 
4628 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4629 {0x099C, 0x3FBD, WORD_LEN, 0 }, 
4630 {0x099E, 0xD700, WORD_LEN, 0 }, 
4631 {0x098A, 0x1030, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4632 {0x0990, 0xCC0C, WORD_LEN, 0 }, 
4633 {0x0992, 0x05DD, WORD_LEN, 0 }, 
4634 {0x0994, 0x35DE, WORD_LEN, 0 }, 
4635 {0x0996, 0x4718, WORD_LEN, 0 }, 
4636 {0x0998, 0xCE0C, WORD_LEN, 0 }, 
4637 {0x099A, 0x45CC, WORD_LEN, 0 }, 
4638 {0x099C, 0x0015, WORD_LEN, 0 }, 
4639 {0x099E, 0xBDD7, WORD_LEN, 0 }, 
4640 {0x098A, 0x1040, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4641 {0x0990, 0x00CC, WORD_LEN, 0 }, 
4642 {0x0992, 0x0C45, WORD_LEN, 0 }, 
4643 {0x0994, 0xDD47, WORD_LEN, 0 }, 
4644 {0x0996, 0xFE00, WORD_LEN, 0 }, 
4645 {0x0998, 0x3318, WORD_LEN, 0 }, 
4646 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
4647 {0x099C, 0x5BCC, WORD_LEN, 0 }, 
4648 {0x099E, 0x0009, WORD_LEN, 0 }, 
4649 {0x098A, 0x1050, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4650 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
4651 {0x0992, 0x00CC, WORD_LEN, 0 }, 
4652 {0x0994, 0x0C5B, WORD_LEN, 0 }, 
4653 {0x0996, 0xFD00, WORD_LEN, 0 }, 
4654 {0x0998, 0x33DE, WORD_LEN, 0 }, 
4655 {0x099A, 0x3118, WORD_LEN, 0 }, 
4656 {0x099C, 0xCE0C, WORD_LEN, 0 }, 
4657 {0x099E, 0x65CC, WORD_LEN, 0 }, 
4658 {0x098A, 0x1060, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4659 {0x0990, 0x0029, WORD_LEN, 0 }, 
4660 {0x0992, 0xBDD7, WORD_LEN, 0 }, 
4661 {0x0994, 0x00CC, WORD_LEN, 0 }, 
4662 {0x0996, 0x0C65, WORD_LEN, 0 }, 
4663 {0x0998, 0xDD31, WORD_LEN, 0 }, 
4664 {0x099A, 0xDE39, WORD_LEN, 0 }, 
4665 {0x099C, 0x18CE, WORD_LEN, 0 }, 
4666 {0x099E, 0x0C8F, WORD_LEN, 0 }, 
4667 {0x098A, 0x1070, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4668 {0x0990, 0xCC00, WORD_LEN, 0 }, 
4669 {0x0992, 0x23BD, WORD_LEN, 0 }, 
4670 {0x0994, 0xD700, WORD_LEN, 0 }, 
4671 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
4672 {0x0998, 0x8FDD, WORD_LEN, 0 }, 
4673 {0x099A, 0x39DE, WORD_LEN, 0 }, 
4674 {0x099C, 0x4918, WORD_LEN, 0 }, 
4675 {0x099E, 0xCE0C, WORD_LEN, 0 }, 
4676 {0x098A, 0x1080, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4677 {0x0990, 0xB3CC, WORD_LEN, 0 }, 
4678 {0x0992, 0x000D, WORD_LEN, 0 }, 
4679 {0x0994, 0xBDD7, WORD_LEN, 0 }, 
4680 {0x0996, 0x00CC, WORD_LEN, 0 }, 
4681 {0x0998, 0x0CB3, WORD_LEN, 0 }, 
4682 {0x099A, 0xDD49, WORD_LEN, 0 }, 
4683 {0x099C, 0xFC04, WORD_LEN, 0 }, 
4684 {0x099E, 0xC2FD, WORD_LEN, 0 }, 
4685 {0x098A, 0x1090, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4686 {0x0990, 0x0BF1, WORD_LEN, 0 }, 
4687 {0x0992, 0x18FE, WORD_LEN, 0 }, 
4688 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
4689 {0x0996, 0xCDEE, WORD_LEN, 0 }, 
4690 {0x0998, 0x1518, WORD_LEN, 0 }, 
4691 {0x099A, 0xCE0C, WORD_LEN, 0 }, 
4692 {0x099C, 0xC1CC, WORD_LEN, 0 }, 
4693 {0x099E, 0x0029, WORD_LEN, 0 }, 
4694 {0x098A, 0x10A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4695 {0x0990, 0xBDD7, WORD_LEN, 0 }, 
4696 {0x0992, 0x00FE, WORD_LEN, 0 }, 
4697 {0x0994, 0x0BF1, WORD_LEN, 0 }, 
4698 {0x0996, 0xCC0C, WORD_LEN, 0 }, 
4699 {0x0998, 0xC1ED, WORD_LEN, 0 }, 
4700 {0x099A, 0x15CC, WORD_LEN, 0 }, 
4701 {0x099C, 0x11A5, WORD_LEN, 0 }, 
4702 {0x099E, 0xFD0B, WORD_LEN, 0 }, 
4703 {0x098A, 0x10B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4704 {0x0990, 0xFFCC, WORD_LEN, 0 }, 
4705 {0x0992, 0x0CFB, WORD_LEN, 0 }, 
4706 {0x0994, 0xFD0C, WORD_LEN, 0 }, 
4707 {0x0996, 0x21CC, WORD_LEN, 0 }, 
4708 {0x0998, 0x128F, WORD_LEN, 0 }, 
4709 {0x099A, 0xFD0C, WORD_LEN, 0 }, 
4710 {0x099C, 0x53CC, WORD_LEN, 0 }, 
4711 {0x099E, 0x114E, WORD_LEN, 0 }, 
4712 {0x098A, 0x10C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4713 {0x0990, 0xFD0C, WORD_LEN, 0 }, 
4714 {0x0992, 0x5DCC, WORD_LEN, 0 }, 
4715 {0x0994, 0x10E2, WORD_LEN, 0 }, 
4716 {0x0996, 0xFD0C, WORD_LEN, 0 }, 
4717 {0x0998, 0x6FCC, WORD_LEN, 0 }, 
4718 {0x099A, 0x0EDD, WORD_LEN, 0 }, 
4719 {0x099C, 0xFD0C, WORD_LEN, 0 }, 
4720 {0x099E, 0xD7CC, WORD_LEN, 0 }, 
4721 {0x098A, 0x10D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4722 {0x0990, 0x0EBA, WORD_LEN, 0 }, 
4723 {0x0992, 0xFD0C, WORD_LEN, 0 }, 
4724 {0x0994, 0xE9CC, WORD_LEN, 0 }, 
4725 {0x0996, 0x1350, WORD_LEN, 0 }, 
4726 {0x0998, 0xFD0C, WORD_LEN, 0 }, 
4727 {0x099A, 0x9BCC, WORD_LEN, 0 }, 
4728 {0x099C, 0x0E29, WORD_LEN, 0 }, 
4729 {0x099E, 0xFD0C, WORD_LEN, 0 }, 
4730 {0x098A, 0x10E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4731 {0x0990, 0xBF39, WORD_LEN, 0 }, 
4732 {0x0992, 0x373C, WORD_LEN, 0 }, 
4733 {0x0994, 0x3CDE, WORD_LEN, 0 }, 
4734 {0x0996, 0x1DEC, WORD_LEN, 0 }, 
4735 {0x0998, 0x0C5F, WORD_LEN, 0 }, 
4736 {0x099A, 0x8402, WORD_LEN, 0 }, 
4737 {0x099C, 0x4416, WORD_LEN, 0 }, 
4738 {0x099E, 0x4FF7, WORD_LEN, 0 }, 
4739 {0x098A, 0x10F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4740 {0x0990, 0x0CEB, WORD_LEN, 0 }, 
4741 {0x0992, 0xE60B, WORD_LEN, 0 }, 
4742 {0x0994, 0xC407, WORD_LEN, 0 }, 
4743 {0x0996, 0xF70C, WORD_LEN, 0 }, 
4744 {0x0998, 0xEC7F, WORD_LEN, 0 }, 
4745 {0x099A, 0x30C4, WORD_LEN, 0 }, 
4746 {0x099C, 0xEC25, WORD_LEN, 0 }, 
4747 {0x099E, 0xFD30, WORD_LEN, 0 }, 
4748 {0x098A, 0x1100, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4749 {0x0990, 0xC5FC, WORD_LEN, 0 }, 
4750 {0x0992, 0x06D6, WORD_LEN, 0 }, 
4751 {0x0994, 0xFD30, WORD_LEN, 0 }, 
4752 {0x0996, 0xC701, WORD_LEN, 0 }, 
4753 {0x0998, 0xFC30, WORD_LEN, 0 }, 
4754 {0x099A, 0xC0FD, WORD_LEN, 0 }, 
4755 {0x099C, 0x0BED, WORD_LEN, 0 }, 
4756 {0x099E, 0xFC30, WORD_LEN, 0 }, 
4757 {0x098A, 0x1110, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4758 {0x0990, 0xC2FD, WORD_LEN, 0 }, 
4759 {0x0992, 0x0BEF, WORD_LEN, 0 }, 
4760 {0x0994, 0xFC04, WORD_LEN, 0 }, 
4761 {0x0996, 0xC283, WORD_LEN, 0 }, 
4762 {0x0998, 0xFFFF, WORD_LEN, 0 }, 
4763 {0x099A, 0x2728, WORD_LEN, 0 }, 
4764 {0x099C, 0xDE06, WORD_LEN, 0 }, 
4765 {0x099E, 0xEC22, WORD_LEN, 0 }, 
4766 {0x098A, 0x1120, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4767 {0x0990, 0x8322, WORD_LEN, 0 }, 
4768 {0x0992, 0x0026, WORD_LEN, 0 }, 
4769 {0x0994, 0x1FCC, WORD_LEN, 0 }, 
4770 {0x0996, 0x3064, WORD_LEN, 0 }, 
4771 {0x0998, 0x30ED, WORD_LEN, 0 }, 
4772 {0x099A, 0x008F, WORD_LEN, 0 }, 
4773 {0x099C, 0xC300, WORD_LEN, 0 }, 
4774 {0x099E, 0x02DE, WORD_LEN, 0 }, 
4775 {0x098A, 0x1130, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4776 {0x0990, 0x0CAD, WORD_LEN, 0 }, 
4777 {0x0992, 0x0030, WORD_LEN, 0 }, 
4778 {0x0994, 0x1D02, WORD_LEN, 0 }, 
4779 {0x0996, 0x01CC, WORD_LEN, 0 }, 
4780 {0x0998, 0x3064, WORD_LEN, 0 }, 
4781 {0x099A, 0xED00, WORD_LEN, 0 }, 
4782 {0x099C, 0x8FC3, WORD_LEN, 0 }, 
4783 {0x099E, 0x0002, WORD_LEN, 0 }, 
4784 {0x098A, 0x1140, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4785 {0x0990, 0xDE0E, WORD_LEN, 0 }, 
4786 {0x0992, 0xAD00, WORD_LEN, 0 }, 
4787 {0x0994, 0x30E6, WORD_LEN, 0 }, 
4788 {0x0996, 0x04BD, WORD_LEN, 0 }, 
4789 {0x0998, 0x5203, WORD_LEN, 0 }, 
4790 {0x099A, 0x3838, WORD_LEN, 0 }, 
4791 {0x099C, 0x3139, WORD_LEN, 0 }, 
4792 {0x099E, 0x3C3C, WORD_LEN, 0 }, 
4793 {0x098A, 0x1150, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4794 {0x0990, 0x3C21, WORD_LEN, 0 }, 
4795 {0x0992, 0x01CC, WORD_LEN, 0 }, 
4796 {0x0994, 0x0018, WORD_LEN, 0 }, 
4797 {0x0996, 0xBD6F, WORD_LEN, 0 }, 
4798 {0x0998, 0xD1C5, WORD_LEN, 0 }, 
4799 {0x099A, 0x0426, WORD_LEN, 0 }, 
4800 {0x099C, 0xF5DC, WORD_LEN, 0 }, 
4801 {0x099E, 0x2530, WORD_LEN, 0 }, 
4802 {0x098A, 0x1160, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4803 {0x0990, 0xED04, WORD_LEN, 0 }, 
4804 {0x0992, 0x2012, WORD_LEN, 0 }, 
4805 {0x0994, 0xEE04, WORD_LEN, 0 }, 
4806 {0x0996, 0x3C18, WORD_LEN, 0 }, 
4807 {0x0998, 0x38E6, WORD_LEN, 0 }, 
4808 {0x099A, 0x2118, WORD_LEN, 0 }, 
4809 {0x099C, 0xE7BE, WORD_LEN, 0 }, 
4810 {0x099E, 0x30EE, WORD_LEN, 0 }, 
4811 {0x098A, 0x1170, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4812 {0x0990, 0x04EC, WORD_LEN, 0 }, 
4813 {0x0992, 0x1D30, WORD_LEN, 0 }, 
4814 {0x0994, 0xED04, WORD_LEN, 0 }, 
4815 {0x0996, 0xEC04, WORD_LEN, 0 }, 
4816 {0x0998, 0x26EA, WORD_LEN, 0 }, 
4817 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4818 {0x099C, 0x1AED, WORD_LEN, 0 }, 
4819 {0x099E, 0x02CC, WORD_LEN, 0 }, 
4820 {0x098A, 0x1180, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4821 {0x0990, 0xFBFF, WORD_LEN, 0 }, 
4822 {0x0992, 0xED00, WORD_LEN, 0 }, 
4823 {0x0994, 0xCC04, WORD_LEN, 0 }, 
4824 {0x0996, 0x00BD, WORD_LEN, 0 }, 
4825 {0x0998, 0x706D, WORD_LEN, 0 }, 
4826 {0x099A, 0xCC00, WORD_LEN, 0 }, 
4827 {0x099C, 0x1A30, WORD_LEN, 0 }, 
4828 {0x099E, 0xED02, WORD_LEN, 0 }, 
4829 {0x098A, 0x1190, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4830 {0x0990, 0xCCFB, WORD_LEN, 0 }, 
4831 {0x0992, 0xFFED, WORD_LEN, 0 }, 
4832 {0x0994, 0x005F, WORD_LEN, 0 }, 
4833 {0x0996, 0x4FBD, WORD_LEN, 0 }, 
4834 {0x0998, 0x706D, WORD_LEN, 0 }, 
4835 {0x099A, 0x5FBD, WORD_LEN, 0 }, 
4836 {0x099C, 0x5B17, WORD_LEN, 0 }, 
4837 {0x099E, 0xBD55, WORD_LEN, 0 }, 
4838 {0x098A, 0x11A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4839 {0x0990, 0x8B38, WORD_LEN, 0 }, 
4840 {0x0992, 0x3838, WORD_LEN, 0 }, 
4841 {0x0994, 0x393C, WORD_LEN, 0 }, 
4842 {0x0996, 0x3CC6, WORD_LEN, 0 }, 
4843 {0x0998, 0x40F7, WORD_LEN, 0 }, 
4844 {0x099A, 0x30C4, WORD_LEN, 0 }, 
4845 {0x099C, 0xFC0B, WORD_LEN, 0 }, 
4846 {0x099E, 0xEDFD, WORD_LEN, 0 }, 
4847 {0x098A, 0x11B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4848 {0x0990, 0x30C0, WORD_LEN, 0 }, 
4849 {0x0992, 0xFC0B, WORD_LEN, 0 }, 
4850 {0x0994, 0xEFFD, WORD_LEN, 0 }, 
4851 {0x0996, 0x30C2, WORD_LEN, 0 }, 
4852 {0x0998, 0xDE1D, WORD_LEN, 0 }, 
4853 {0x099A, 0xEC25, WORD_LEN, 0 }, 
4854 {0x099C, 0xFD30, WORD_LEN, 0 }, 
4855 {0x099E, 0xC501, WORD_LEN, 0 }, 
4856 {0x098A, 0x11C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4857 {0x0990, 0x0101, WORD_LEN, 0 }, 
4858 {0x0992, 0xFC30, WORD_LEN, 0 }, 
4859 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
4860 {0x0996, 0x06D6, WORD_LEN, 0 }, 
4861 {0x0998, 0xEC0C, WORD_LEN, 0 }, 
4862 {0x099A, 0x5F84, WORD_LEN, 0 }, 
4863 {0x099C, 0x0244, WORD_LEN, 0 }, 
4864 {0x099E, 0x164F, WORD_LEN, 0 }, 
4865 {0x098A, 0x11D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4866 {0x0990, 0x30E7, WORD_LEN, 0 }, 
4867 {0x0992, 0x03F1, WORD_LEN, 0 }, 
4868 {0x0994, 0x0CEB, WORD_LEN, 0 }, 
4869 {0x0996, 0x2715, WORD_LEN, 0 }, 
4870 {0x0998, 0xF10C, WORD_LEN, 0 }, 
4871 {0x099A, 0xEB23, WORD_LEN, 0 }, 
4872 {0x099C, 0x09FC, WORD_LEN, 0 }, 
4873 {0x099E, 0x06D6, WORD_LEN, 0 }, 
4874 {0x098A, 0x11E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4875 {0x0990, 0x04FD, WORD_LEN, 0 }, 
4876 {0x0992, 0x06D6, WORD_LEN, 0 }, 
4877 {0x0994, 0x2007, WORD_LEN, 0 }, 
4878 {0x0996, 0xFC06, WORD_LEN, 0 }, 
4879 {0x0998, 0xD605, WORD_LEN, 0 }, 
4880 {0x099A, 0xFD06, WORD_LEN, 0 }, 
4881 {0x099C, 0xD6DE, WORD_LEN, 0 }, 
4882 {0x099E, 0x1DE6, WORD_LEN, 0 }, 
4883 {0x098A, 0x11F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4884 {0x0990, 0x0BC4, WORD_LEN, 0 }, 
4885 {0x0992, 0x0730, WORD_LEN, 0 }, 
4886 {0x0994, 0xE702, WORD_LEN, 0 }, 
4887 {0x0996, 0xF10C, WORD_LEN, 0 }, 
4888 {0x0998, 0xEC27, WORD_LEN, 0 }, 
4889 {0x099A, 0x2C7D, WORD_LEN, 0 }, 
4890 {0x099C, 0x0CEC, WORD_LEN, 0 }, 
4891 {0x099E, 0x2727, WORD_LEN, 0 }, 
4892 {0x098A, 0x1200, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4893 {0x0990, 0x5D27, WORD_LEN, 0 }, 
4894 {0x0992, 0x247F, WORD_LEN, 0 }, 
4895 {0x0994, 0x30C4, WORD_LEN, 0 }, 
4896 {0x0996, 0xFC06, WORD_LEN, 0 }, 
4897 {0x0998, 0xD6FD, WORD_LEN, 0 }, 
4898 {0x099A, 0x30C5, WORD_LEN, 0 }, 
4899 {0x099C, 0xF60C, WORD_LEN, 0 }, 
4900 {0x099E, 0xEC4F, WORD_LEN, 0 }, 
4901 {0x098A, 0x1210, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4902 {0x0990, 0xFD30, WORD_LEN, 0 }, 
4903 {0x0992, 0xC7C6, WORD_LEN, 0 }, 
4904 {0x0994, 0x40F7, WORD_LEN, 0 }, 
4905 {0x0996, 0x30C4, WORD_LEN, 0 }, 
4906 {0x0998, 0xE602, WORD_LEN, 0 }, 
4907 {0x099A, 0x4FFD, WORD_LEN, 0 }, 
4908 {0x099C, 0x30C5, WORD_LEN, 0 }, 
4909 {0x099E, 0x0101, WORD_LEN, 0 }, 
4910 {0x098A, 0x1220, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4911 {0x0990, 0x01FC, WORD_LEN, 0 }, 
4912 {0x0992, 0x30C2, WORD_LEN, 0 }, 
4913 {0x0994, 0xFD06, WORD_LEN, 0 }, 
4914 {0x0996, 0xD67D, WORD_LEN, 0 }, 
4915 {0x0998, 0x06CB, WORD_LEN, 0 }, 
4916 {0x099A, 0x272E, WORD_LEN, 0 }, 
4917 {0x099C, 0xC640, WORD_LEN, 0 }, 
4918 {0x099E, 0xF730, WORD_LEN, 0 }, 
4919 {0x098A, 0x1230, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4920 {0x0990, 0xC4FC, WORD_LEN, 0 }, 
4921 {0x0992, 0x06C1, WORD_LEN, 0 }, 
4922 {0x0994, 0x04F3, WORD_LEN, 0 }, 
4923 {0x0996, 0x06D6, WORD_LEN, 0 }, 
4924 {0x0998, 0xED00, WORD_LEN, 0 }, 
4925 {0x099A, 0x5F6D, WORD_LEN, 0 }, 
4926 {0x099C, 0x002A, WORD_LEN, 0 }, 
4927 {0x099E, 0x0153, WORD_LEN, 0 }, 
4928 {0x098A, 0x1240, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4929 {0x0990, 0x17FD, WORD_LEN, 0 }, 
4930 {0x0992, 0x30C0, WORD_LEN, 0 }, 
4931 {0x0994, 0xEC00, WORD_LEN, 0 }, 
4932 {0x0996, 0xFD30, WORD_LEN, 0 }, 
4933 {0x0998, 0xC2FC, WORD_LEN, 0 }, 
4934 {0x099A, 0x06C1, WORD_LEN, 0 }, 
4935 {0x099C, 0xFD30, WORD_LEN, 0 }, 
4936 {0x099E, 0xC501, WORD_LEN, 0 }, 
4937 {0x098A, 0x1250, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4938 {0x0990, 0x0101, WORD_LEN, 0 }, 
4939 {0x0992, 0xFC30, WORD_LEN, 0 }, 
4940 {0x0994, 0xC2FD, WORD_LEN, 0 }, 
4941 {0x0996, 0x06C7, WORD_LEN, 0 }, 
4942 {0x0998, 0x2022, WORD_LEN, 0 }, 
4943 {0x099A, 0x7F30, WORD_LEN, 0 }, 
4944 {0x099C, 0xC4DE, WORD_LEN, 0 }, 
4945 {0x099E, 0x1DEC, WORD_LEN, 0 }, 
4946 {0x098A, 0x1260, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4947 {0x0990, 0x25FD, WORD_LEN, 0 }, 
4948 {0x0992, 0x30C5, WORD_LEN, 0 }, 
4949 {0x0994, 0xFC06, WORD_LEN, 0 }, 
4950 {0x0996, 0xD6FD, WORD_LEN, 0 }, 
4951 {0x0998, 0x30C7, WORD_LEN, 0 }, 
4952 {0x099A, 0x01FC, WORD_LEN, 0 }, 
4953 {0x099C, 0x30C0, WORD_LEN, 0 }, 
4954 {0x099E, 0xFD06, WORD_LEN, 0 }, 
4955 {0x098A, 0x1270, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4956 {0x0990, 0xD0FC, WORD_LEN, 0 }, 
4957 {0x0992, 0x30C2, WORD_LEN, 0 }, 
4958 {0x0994, 0xFD06, WORD_LEN, 0 }, 
4959 {0x0996, 0xD2EC, WORD_LEN, 0 }, 
4960 {0x0998, 0x25FD, WORD_LEN, 0 }, 
4961 {0x099A, 0x06C3, WORD_LEN, 0 }, 
4962 {0x099C, 0xBD95, WORD_LEN, 0 }, 
4963 {0x099E, 0x3CDE, WORD_LEN, 0 }, 
4964 {0x098A, 0x1280, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4965 {0x0990, 0x3FEE, WORD_LEN, 0 }, 
4966 {0x0992, 0x10AD, WORD_LEN, 0 }, 
4967 {0x0994, 0x00DE, WORD_LEN, 0 }, 
4968 {0x0996, 0x1DFC, WORD_LEN, 0 }, 
4969 {0x0998, 0x06CC, WORD_LEN, 0 }, 
4970 {0x099A, 0xED3E, WORD_LEN, 0 }, 
4971 {0x099C, 0x3838, WORD_LEN, 0 }, 
4972 {0x099E, 0x3930, WORD_LEN, 0 }, 
4973 {0x098A, 0x1290, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4974 {0x0990, 0x8FC3, WORD_LEN, 0 }, 
4975 {0x0992, 0xFFEC, WORD_LEN, 0 }, 
4976 {0x0994, 0x8F35, WORD_LEN, 0 }, 
4977 {0x0996, 0xBDAD, WORD_LEN, 0 }, 
4978 {0x0998, 0x15DE, WORD_LEN, 0 }, 
4979 {0x099A, 0x198F, WORD_LEN, 0 }, 
4980 {0x099C, 0xC301, WORD_LEN, 0 }, 
4981 {0x099E, 0x4B8F, WORD_LEN, 0 }, 
4982 {0x098A, 0x12A0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4983 {0x0990, 0xEC00, WORD_LEN, 0 }, 
4984 {0x0992, 0xFD05, WORD_LEN, 0 }, 
4985 {0x0994, 0x0EEC, WORD_LEN, 0 }, 
4986 {0x0996, 0x02FD, WORD_LEN, 0 }, 
4987 {0x0998, 0x0510, WORD_LEN, 0 }, 
4988 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
4989 {0x099C, 0xFFCB, WORD_LEN, 0 }, 
4990 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
4991 {0x098A, 0x12B0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
4992 {0x0990, 0x00F7, WORD_LEN, 0 }, 
4993 {0x0992, 0x0514, WORD_LEN, 0 }, 
4994 {0x0994, 0xE603, WORD_LEN, 0 }, 
4995 {0x0996, 0xF705, WORD_LEN, 0 }, 
4996 {0x0998, 0x15FC, WORD_LEN, 0 }, 
4997 {0x099A, 0x055B, WORD_LEN, 0 }, 
4998 {0x099C, 0xFD05, WORD_LEN, 0 }, 
4999 {0x099E, 0x12DE, WORD_LEN, 0 }, 
5000 {0x098A, 0x12C0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5001 {0x0990, 0x37EE, WORD_LEN, 0 }, 
5002 {0x0992, 0x08AD, WORD_LEN, 0 }, 
5003 {0x0994, 0x00F6, WORD_LEN, 0 }, 
5004 {0x0996, 0x0516, WORD_LEN, 0 }, 
5005 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5006 {0x099A, 0xED04, WORD_LEN, 0 }, 
5007 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
5008 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
5009 {0x098A, 0x12D0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5010 {0x0990, 0xFD05, WORD_LEN, 0 }, 
5011 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
5012 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
5013 {0x0996, 0x0510, WORD_LEN, 0 }, 
5014 {0x0998, 0xDE19, WORD_LEN, 0 }, 
5015 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
5016 {0x099C, 0x0117, WORD_LEN, 0 }, 
5017 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
5018 {0x098A, 0x12E0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5019 {0x0990, 0x00F7, WORD_LEN, 0 }, 
5020 {0x0992, 0x0514, WORD_LEN, 0 }, 
5021 {0x0994, 0xE603, WORD_LEN, 0 }, 
5022 {0x0996, 0xF705, WORD_LEN, 0 }, 
5023 {0x0998, 0x15FC, WORD_LEN, 0 }, 
5024 {0x099A, 0x0559, WORD_LEN, 0 }, 
5025 {0x099C, 0xFD05, WORD_LEN, 0 }, 
5026 {0x099E, 0x12DE, WORD_LEN, 0 }, 
5027 {0x098A, 0x12F0, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5028 {0x0990, 0x37EE, WORD_LEN, 0 }, 
5029 {0x0992, 0x08AD, WORD_LEN, 0 }, 
5030 {0x0994, 0x00F6, WORD_LEN, 0 }, 
5031 {0x0996, 0x0516, WORD_LEN, 0 }, 
5032 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5033 {0x099A, 0xED06, WORD_LEN, 0 }, 
5034 {0x099C, 0xDE1F, WORD_LEN, 0 }, 
5035 {0x099E, 0xEC6B, WORD_LEN, 0 }, 
5036 {0x098A, 0x1300, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5037 {0x0990, 0xFD05, WORD_LEN, 0 }, 
5038 {0x0992, 0x0EEC, WORD_LEN, 0 }, 
5039 {0x0994, 0x6DFD, WORD_LEN, 0 }, 
5040 {0x0996, 0x0510, WORD_LEN, 0 }, 
5041 {0x0998, 0xDE19, WORD_LEN, 0 }, 
5042 {0x099A, 0x8FC3, WORD_LEN, 0 }, 
5043 {0x099C, 0x0118, WORD_LEN, 0 }, 
5044 {0x099E, 0x8FE6, WORD_LEN, 0 }, 
5045 {0x098A, 0x1310, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5046 {0x0990, 0x00F7, WORD_LEN, 0 }, 
5047 {0x0992, 0x0514, WORD_LEN, 0 }, 
5048 {0x0994, 0xE603, WORD_LEN, 0 }, 
5049 {0x0996, 0xF705, WORD_LEN, 0 }, 
5050 {0x0998, 0x15FC, WORD_LEN, 0 }, 
5051 {0x099A, 0x0559, WORD_LEN, 0 }, 
5052 {0x099C, 0xFD05, WORD_LEN, 0 }, 
5053 {0x099E, 0x12DE, WORD_LEN, 0 }, 
5054 {0x098A, 0x1320, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5055 {0x0990, 0x37EE, WORD_LEN, 0 }, 
5056 {0x0992, 0x08AD, WORD_LEN, 0 }, 
5057 {0x0994, 0x00F6, WORD_LEN, 0 }, 
5058 {0x0996, 0x0516, WORD_LEN, 0 }, 
5059 {0x0998, 0x4F30, WORD_LEN, 0 }, 
5060 {0x099A, 0xED08, WORD_LEN, 0 }, 
5061 {0x099C, 0xCC32, WORD_LEN, 0 }, 
5062 {0x099E, 0x8EED, WORD_LEN, 0 }, 
5063 {0x098A, 0x1330, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5064 {0x0990, 0x00EC, WORD_LEN, 0 }, 
5065 {0x0992, 0x04BD, WORD_LEN, 0 }, 
5066 {0x0994, 0x7021, WORD_LEN, 0 }, 
5067 {0x0996, 0xCC32, WORD_LEN, 0 }, 
5068 {0x0998, 0x6C30, WORD_LEN, 0 }, 
5069 {0x099A, 0xED02, WORD_LEN, 0 }, 
5070 {0x099C, 0xCCF8, WORD_LEN, 0 }, 
5071 {0x099E, 0x00ED, WORD_LEN, 0 }, 
5072 {0x098A, 0x1340, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5073 {0x0990, 0x00A6, WORD_LEN, 0 }, 
5074 {0x0992, 0x07E3, WORD_LEN, 0 }, 
5075 {0x0994, 0x0884, WORD_LEN, 0 }, 
5076 {0x0996, 0x07BD, WORD_LEN, 0 }, 
5077 {0x0998, 0x706D, WORD_LEN, 0 }, 
5078 {0x099A, 0x30C6, WORD_LEN, 0 }, 
5079 {0x099C, 0x143A, WORD_LEN, 0 }, 
5080 {0x099E, 0x3539, WORD_LEN, 0 }, 
5081 {0x098A, 0x1350, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5082 {0x0990, 0x3CBD, WORD_LEN, 0 }, 
5083 {0x0992, 0x776D, WORD_LEN, 0 }, 
5084 {0x0994, 0xCC32, WORD_LEN, 0 }, 
5085 {0x0996, 0x5C30, WORD_LEN, 0 }, 
5086 {0x0998, 0xED00, WORD_LEN, 0 }, 
5087 {0x099A, 0xFC13, WORD_LEN, 0 }, 
5088 {0x099C, 0x8683, WORD_LEN, 0 }, 
5089 {0x099E, 0x0001, WORD_LEN, 0 }, 
5090 {0x098A, 0x1360, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5091 {0x0990, 0xBD70, WORD_LEN, 0 }, 
5092 {0x0992, 0x21CC, WORD_LEN, 0 }, 
5093 {0x0994, 0x325E, WORD_LEN, 0 }, 
5094 {0x0996, 0x30ED, WORD_LEN, 0 }, 
5095 {0x0998, 0x00FC, WORD_LEN, 0 }, 
5096 {0x099A, 0x1388, WORD_LEN, 0 }, 
5097 {0x099C, 0x8300, WORD_LEN, 0 }, 
5098 {0x099E, 0x01BD, WORD_LEN, 0 }, 
5099 {0x098A, 0x1370, WORD_LEN, 0 },         // PHYSICAL_ADDR_ACCESS
5100 {0x0990, 0x7021, WORD_LEN, 0 }, 
5101 {0x0992, 0x3839, WORD_LEN, 0 }, 
5102
5103 {0x098E, 0x0010, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ADDR]
5104 {0x0990, 0x1000, WORD_LEN, 0 },         // MCU_DATA_0
5105 {0x098E, 0x0003, WORD_LEN, 0 },         // MCU_ADDRESS [MON_ALGO]
5106 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5107 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
5108 //  POLL  MON_PATCH_0 =>  0x01
5109 {0x098E, 0x4815, WORD_LEN, 0 },         // MCU_ADDRESS
5110 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5111 {0x098E, 0x485D, WORD_LEN, 0 },         // MCU_ADDRESS
5112 {0x0990, 0x0004, WORD_LEN, 0 },         // MCU_DATA_0
5113 {0x0018, 0x0028, WORD_LEN, 0 },         // STANDBY_CONTROL_AND_STATUS
5114 //  POLL  SEQ_STATE =>  0x01, 0x02, ..., 0x03 (11 reads)
5115 { SEQUENCE_WAIT_MS,10, WORD_LEN, 0},
5116
5117 //awb saturation
5118 {0x098E, 0xAC31, WORD_LEN, 0 },         
5119 {0x0990, 0x00E8, WORD_LEN, 0 },  
5120
5121 // Digital Gain(5 times) / Analog Gain(14 times)
5122
5123 {0x098E, 0x682F, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5124 {0x0990, 0x0040, WORD_LEN, 0 },         // MCU_DATA_0
5125 {0x098E, 0x6837, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5126 {0x0990, 0x0040, WORD_LEN, 0 },         // MCU_DATA_0
5127 {0x098E, 0x6839, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5128 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5129
5130 {0x098E, 0x6835, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5131 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5132 {0x098E, 0x6833, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_A_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5133 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5134
5135
5136 {0x098E, 0x483E, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5137 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5138 {0x098E, 0x4886, WORD_LEN, 0 },         // MCU_ADDRESS [CAM1_CTX_B_DIGITAL_GAIN]
5139 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5140
5141 {0x098E, 0x6C35, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_AE_TRACK_AE_MAX_VIRT_DGAIN]
5142 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5143 {0x098E, 0x6C39, WORD_LEN, 0 },         // MCU_ADDRESS [PRI_B_CONFIG_AE_TRACK_AE_MAX_VIRT_AGAIN]
5144 {0x0990, 0x0080, WORD_LEN, 0 },         // MCU_DATA_0
5145
5146 {0x098E, 0xE823, WORD_LEN, 0 },         
5147 {0x0990, 0x0083, WORD_LEN, 0 },  
5148
5149 {0x098E, 0xE824, WORD_LEN, 0 },  
5150 {0x0990, 0x007C, WORD_LEN, 0 },         
5151
5152 /*{0x098E, 0x6811, WORD_LEN, 0 },  // MCU_ADDRESS [PRI_A_CONFIG_FD_ALGO_RUN]
5153 {0x0990, 0x0002, WORD_LEN, 0 },  // MCU_DATA_0
5154 {0x098E, 0xA005, WORD_LEN, 0 },  // MCU_ADDRESS [FD_FDPERIOD_SELECT]
5155 {0x0990, 0x0001, WORD_LEN, 0 },  // MCU_DATA_0
5156
5157 {0x098E, 0x8400, WORD_LEN, 0 },  // MCU_ADDRESS [SEQ_CMD]
5158 {0x0990, 0x0005, WORD_LEN, 0 },  // MCU_DATA_0
5159 */
5160 #endif
5161 { SEQUENCE_END, 0x00, WORD_LEN, 0}
5162 };
5163
5164 /* 720p 15fps @ 1280x720 */
5165
5166 static struct reginfo sensor_720p[]=
5167 {
5168
5169         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5170         {SEQUENCE_END, 0x00}
5171 };
5172
5173 /*      1080p, 0x15fps, 0xyuv @1920x1080 */
5174
5175 static struct reginfo sensor_1080p[]=
5176 {
5177         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5178         {SEQUENCE_END, 0x00}
5179 };
5180
5181
5182 /* 2048*1536 QXGA */
5183 static struct reginfo sensor_qxga[] =
5184 {
5185         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5186         {0x098E, 0x6C00, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_WIDTH]
5187         {0x0990, 0x0800, WORD_LEN, 0},       // MCU_DATA_0
5188         {0x098E, 0x6C02, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_HEIGHT]
5189         {0x0990, 0x0600, WORD_LEN, 0},       // MCU_DATA_0
5190         {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
5191         
5192         {SEQUENCE_END, 0x00}
5193 };
5194
5195 /* 1600X1200 UXGA */
5196 static struct reginfo sensor_uxga[] =
5197 {
5198         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5199         {0x098E, 0x6C00, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_WIDTH]
5200         {0x0990, 0x0640, WORD_LEN, 0},       // MCU_DATA_0
5201         {0x098E, 0x6C02, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_HEIGHT]
5202         {0x0990, 0x04B0, WORD_LEN, 0},       // MCU_DATA_0
5203         {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
5204         //{SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5205         {SEQUENCE_END, 0x00}
5206 };
5207 /* 1280X1024 SXGA */
5208 static struct reginfo sensor_sxga[] =
5209 {
5210         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5211         {SEQUENCE_END, 0x00}
5212 };
5213 /*  1024X768 XGA */
5214 static struct reginfo sensor_xga[] =
5215 {
5216         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5217         {0x098E, 0x6C00, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_WIDTH]
5218         {0x0990, 0x0500, WORD_LEN, 0},       // MCU_DATA_0
5219         {0x098E, 0x6C02, WORD_LEN, 0},       // MCU_ADDRESS [PRI_B_IMAGE_HEIGHT]
5220         {0x0990, 0x03C0, WORD_LEN, 0},       // MCU_DATA_0
5221         {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
5222         //{SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5223         {SEQUENCE_END, 0x00}
5224 };
5225
5226 /* 800X600 SVGA*/
5227 static struct reginfo sensor_svga[] =
5228 {
5229         {SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5230         {SEQUENCE_END, 0x00}
5231 };
5232
5233 /* 640X480 VGA */
5234 static struct reginfo sensor_vga[] =
5235 {
5236         {0x098E, 0x6800, WORD_LEN, 0},       // MCU_ADDRESS [PRI_A_IMAGE_WIDTH]
5237         {0x0990, 0x0280, WORD_LEN, 0},       // MCU_DATA_0
5238         {0x098E, 0x6802, WORD_LEN, 0},       // MCU_ADDRESS [PRI_A_IMAGE_HEIGHT]
5239         {0x0990, 0x01E0, WORD_LEN, 0},       // MCU_DATA_0
5240         {0x098E, 0x8400, WORD_LEN, 0},       // MCU_ADDRESS [SEQ_CMD]
5241         {0x0990, 0x0006, WORD_LEN, 0},       // MCU_DATA_0
5242         {SEQUENCE_WAIT_MS,100, WORD_LEN, 0},
5243         {SEQUENCE_END, 0x00}
5244 };
5245
5246 /* 352X288 CIF */
5247 static struct reginfo sensor_cif[] =
5248 {
5249         {SEQUENCE_END, 0x00}
5250 };
5251
5252 /* 320*240 QVGA */
5253 static  struct reginfo sensor_qvga[] =
5254 {
5255         //{SEQUENCE_PROPERTY,SEQUENCE_CAPTURE},
5256         {SEQUENCE_END, 0x00}
5257 };
5258
5259 /* 176X144 QCIF*/
5260 static struct reginfo sensor_qcif[] =
5261 {
5262         {SEQUENCE_END, 0x00}
5263 };
5264
5265 static  struct reginfo sensor_Preview2Capture[]=
5266 {
5267         //capture2preview
5268         {0x098E, 0xEC05, WORD_LEN, 0}, 
5269         {0x0990, 0x0000, WORD_LEN, 0}, 
5270         {0x098E, 0x8400, WORD_LEN, 0}, 
5271         {0x0990, 0x0002, WORD_LEN, 0}, 
5272
5273         {SEQUENCE_END, 0x00}
5274 };
5275
5276 static  struct reginfo sensor_Capture2Preview[]=
5277 {
5278         //snap2preview
5279         {0x098E, 0xEC05, WORD_LEN, 0}, 
5280         {0x0990, 0x0005, WORD_LEN, 0}, 
5281         {0x098E, 0x8400, WORD_LEN, 0}, 
5282         {0x0990, 0x0001, WORD_LEN, 0}, 
5283         {0x098E, 0x8400, WORD_LEN, 0}, 
5284         {0x0990, 0x0006, WORD_LEN, 0}, 
5285
5286         {SEQUENCE_WAIT_MS,50, WORD_LEN, 0},
5287         {SEQUENCE_END, 0x00}
5288 };
5289 static  struct reginfo sensor_ClrFmt_YUYV[]=
5290 {
5291         {SEQUENCE_END, 0x00}
5292 };
5293
5294 static  struct reginfo sensor_ClrFmt_UYVY[]=
5295 {
5296         {SEQUENCE_END, 0x00}
5297 };
5298
5299
5300 #if CONFIG_SENSOR_WhiteBalance
5301 static  struct reginfo sensor_WhiteB_Auto[]=
5302 {
5303         //Auto
5304         {0x098E, 0x2C03, WORD_LEN, 0},          // MCU_ADDRESS [AWB_ALGO]
5305         {0x0990, 0x01FF, WORD_LEN, 0},          // MCU_DATA_0
5306
5307         {0x098E, 0xAC02, WORD_LEN, 0},          // MCU_ADDRESS [AWB_MODE]
5308         {0x0990, 0x000A, WORD_LEN, 0},          // MCU_DATA_0
5309         {0x098E, 0x683F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5310         {0x0990, 0x01FF, WORD_LEN, 0},          // MCU_DATA_0
5311                                        
5312         {0x098E, 0x8400, WORD_LEN, 0},          // MCU_ADDRESS [SEQ_CMD]
5313         {0x0990, 0x0005, WORD_LEN, 0},          // MCU_DATA_0
5314         
5315         {SEQUENCE_END, 0x00}
5316 };
5317 /* Cloudy Colour Temperature : 6500K - 8000K  */
5318 static  struct reginfo sensor_WhiteB_Cloudy[]=
5319 {
5320         //[V.       DL 7500]
5321         {0x098E, 0x48B0, WORD_LEN, 0}, 
5322     {0x0990, 0x01F9, WORD_LEN, 0}, 
5323     {0x098E, 0x48B2, WORD_LEN, 0}, 
5324     {0x0990, 0xFF27, WORD_LEN, 0}, 
5325     {0x098E, 0x48B4, WORD_LEN, 0}, 
5326     {0x0990, 0xFFFA, WORD_LEN, 0}, 
5327     {0x098E, 0x48B6, WORD_LEN, 0}, 
5328     {0x0990, 0xFFFD, WORD_LEN, 0}, 
5329     {0x098E, 0x48B8, WORD_LEN, 0}, 
5330     {0x0990, 0x01A6, WORD_LEN, 0}, 
5331     {0x098E, 0x48BA, WORD_LEN, 0}, 
5332     {0x0990, 0xFF76, WORD_LEN, 0}, 
5333     {0x098E, 0x48BC, WORD_LEN, 0}, 
5334     {0x0990, 0x0023, WORD_LEN, 0}, 
5335     {0x098E, 0x48BE, WORD_LEN, 0}, 
5336     {0x0990, 0xFF6A, WORD_LEN, 0}, 
5337     {0x098E, 0x48C0, WORD_LEN, 0}, 
5338     {0x0990, 0x018D, WORD_LEN, 0}, 
5339     {0x098E, 0x48C6, WORD_LEN, 0}, 
5340     {0x0990, 0x0000, WORD_LEN, 0}, 
5341     {0x098E, 0x48C8, WORD_LEN, 0}, 
5342     {0x0990, 0x0000, WORD_LEN, 0}, 
5343     {0x098E, 0x48CA, WORD_LEN, 0}, 
5344     {0x0990, 0x0000, WORD_LEN, 0}, 
5345     {0x098E, 0x48CC, WORD_LEN, 0}, 
5346     {0x0990, 0x0000, WORD_LEN, 0}, 
5347     {0x098E, 0x48CE, WORD_LEN, 0}, 
5348     {0x0990, 0x0000, WORD_LEN, 0}, 
5349     {0x098E, 0x48D0, WORD_LEN, 0}, 
5350     {0x0990, 0x0000, WORD_LEN, 0}, 
5351     {0x098E, 0x48D2, WORD_LEN, 0}, 
5352     {0x0990, 0x0000, WORD_LEN, 0}, 
5353     {0x098E, 0x48D4, WORD_LEN, 0}, 
5354     {0x0990, 0x0000, WORD_LEN, 0}, 
5355     {0x098E, 0x48D6, WORD_LEN, 0}, 
5356     {0x0990, 0x0000, WORD_LEN, 0}, 
5357     {0x098E, 0xAC02, WORD_LEN, 0}, 
5358     {0x0990, 0x0000, WORD_LEN, 0}, 
5359     {0x098E, 0x2C03, WORD_LEN, 0}, 
5360     {0x0990, 0x0000, WORD_LEN, 0}, 
5361     {0x098E, 0x683F, WORD_LEN, 0}, 
5362     {0x0990, 0x0000, WORD_LEN, 0}, 
5363     {0x098E, 0xAC3C, WORD_LEN, 0}, 
5364     {0x0990, 0x0035, WORD_LEN, 0}, 
5365     {0x098E, 0xAC3D, WORD_LEN, 0}, 
5366     {0x0990, 0x006E, WORD_LEN, 0}, 
5367     {0x098E, 0x8400, WORD_LEN, 0}, 
5368     {0x0990, 0x0005, WORD_LEN, 0}, 
5369         {SEQUENCE_END, 0x00}
5370 };
5371 /* ClearDay Colour Temperature : 5000K - 6500K  */
5372 static  struct reginfo sensor_WhiteB_ClearDay[]=
5373 {
5374         //[IV       Day Light]
5375         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5376         {0x0990, 0x0131, WORD_LEN, 0},  // MCU_DATA_0
5377         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5378         {0x0990, 0xFFFB, WORD_LEN, 0},  // MCU_DATA_0
5379         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5380         {0x0990, 0x000E, WORD_LEN, 0},  // MCU_DATA_0
5381         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5382         {0x0990, 0xFFD1, WORD_LEN, 0},  // MCU_DATA_0
5383         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5384         {0x0990, 0x018B, WORD_LEN, 0},  // MCU_DATA_0
5385         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5386         {0x0990, 0xFFE2, WORD_LEN, 0},  // MCU_DATA_0
5387         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5388         {0x0990, 0x0014, WORD_LEN, 0},  // MCU_DATA_0
5389         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5390         {0x0990, 0xFFA7, WORD_LEN, 0},  // MCU_DATA_0
5391         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5392         {0x0990, 0x0180, WORD_LEN, 0},  // MCU_DATA_0
5393
5394         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5395         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5396         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5397         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5398         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5399         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5400         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5401         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5402         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5403         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5404         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5405         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5406         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5407         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5408         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5409         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5410         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5411         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5412
5413         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5414         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5415         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5416         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5417                                        
5418         {0x098E, 0xAC3C, WORD_LEN, 0},
5419         {0x0990, 0x0035, WORD_LEN, 0},
5420         {0x098E, 0xAC3D, WORD_LEN, 0},
5421         {0x0990, 0x0068, WORD_LEN, 0},
5422         {0x098E, 0xAC33, WORD_LEN, 0},
5423         {0x0990, 0x004D, WORD_LEN, 0},
5424                                        
5425         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5426         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0           
5427
5428         {SEQUENCE_END, 0x00}
5429 };
5430 /* Office Colour Temperature : 3500K - 5000K  */
5431 static  struct reginfo sensor_WhiteB_TungstenLamp1[]=
5432 {
5433         //[III        Fluorescent]
5434         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5435         {0x0990, 0x0119, WORD_LEN, 0},  // MCU_DATA_0
5436         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5437         {0x0990, 0xFFFD, WORD_LEN, 0},  // MCU_DATA_0
5438         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5439         {0x0990, 0x000F, WORD_LEN, 0},  // MCU_DATA_0
5440         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5441         {0x0990, 0xFFCE, WORD_LEN, 0},  // MCU_DATA_0
5442         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5443         {0x0990, 0x017A, WORD_LEN, 0},  // MCU_DATA_0
5444         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5445         {0x0990, 0xFFDE, WORD_LEN, 0},  // MCU_DATA_0
5446         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5447         {0x0990, 0x0017, WORD_LEN, 0},  // MCU_DATA_0
5448         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5449         {0x0990, 0xFF9F, WORD_LEN, 0},  // MCU_DATA_0
5450         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5451         {0x0990, 0x016F, WORD_LEN, 0},  // MCU_DATA_0
5452
5453         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5454         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5455         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5456         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5457         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5458         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5459         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5460         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5461         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5462         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5463         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5464         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5465         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5466         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5467         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5468         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5469         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5470         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5471                                        
5472         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5473         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5474         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5475         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5476                                      
5477         {0x098E, 0xAC3C, WORD_LEN, 0},
5478         {0x0990, 0x004A, WORD_LEN, 0},
5479         {0x098E, 0xAC3D, WORD_LEN, 0},
5480         {0x0990, 0x0045, WORD_LEN, 0},
5481         {0x098E, 0xAC33, WORD_LEN, 0},
5482         {0x0990, 0x0034, WORD_LEN, 0},
5483                                        
5484         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5485         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5486         {SEQUENCE_END, 0x00}
5487 };
5488 /* Home Colour Temperature : 2500K - 3500K  */
5489 static  struct reginfo sensor_WhiteB_TungstenLamp2[]=
5490 {
5491         //[II.        Incandescent]
5492         {0x098E, 0x48B0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_0]
5493         {0x0990, 0x010F, WORD_LEN, 0},  // MCU_DATA_0
5494         {0x098E, 0x48B2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_1]
5495         {0x0990, 0xFFFC, WORD_LEN, 0},  // MCU_DATA_0
5496         {0x098E, 0x48B4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_2]
5497         {0x0990, 0x000F, WORD_LEN, 0},  // MCU_DATA_0
5498         {0x098E, 0x48B6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_3]
5499         {0x0990, 0xFFCD, WORD_LEN, 0},  // MCU_DATA_0
5500         {0x098E, 0x48B8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_4]
5501         {0x0990, 0x0172, WORD_LEN, 0},  // MCU_DATA_0
5502         {0x098E, 0x48BA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_5]
5503         {0x0990, 0xFFDC, WORD_LEN, 0},  // MCU_DATA_0
5504         {0x098E, 0x48BC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_6]
5505         {0x0990, 0x0018, WORD_LEN, 0},  // MCU_DATA_0
5506         {0x098E, 0x48BE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_7]
5507         {0x0990, 0xFF9B, WORD_LEN, 0},  // MCU_DATA_0
5508         {0x098E, 0x48C0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_L_8]
5509         {0x0990, 0x0167, WORD_LEN, 0},  // MCU_DATA_0
5510
5511         {0x098E, 0x48C6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_0]
5512         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5513         {0x098E, 0x48C8, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_1]
5514         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5515         {0x098E, 0x48CA, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_2]
5516         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5517         {0x098E, 0x48CC, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_3]
5518         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5519         {0x098E, 0x48CE, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_4]
5520         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5521         {0x098E, 0x48D0, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_5]
5522         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5523         {0x098E, 0x48D2, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_6]
5524         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5525         {0x098E, 0x48D4, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_7]
5526         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5527         {0x098E, 0x48D6, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_AWB_CCM_RL_8]
5528         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5529
5530         {0x098E, 0xAC02, WORD_LEN, 0},  // MCU_ADDRESS [AWB_MODE]
5531         {0x0990, 0x0010, WORD_LEN, 0},  // MCU_DATA_0
5532         {0x098E, 0x2C03, WORD_LEN, 0},  // MCU_ADDRESS [AWB_ALGO]
5533         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5534                                        
5535         {0x098E, 0xAC3C, WORD_LEN, 0},
5536         {0x0990, 0x005A, WORD_LEN, 0},
5537         {0x098E, 0xAC3D, WORD_LEN, 0},
5538         {0x0990, 0x0037, WORD_LEN, 0},
5539         {0x098E, 0xAC33, WORD_LEN, 0},
5540         {0x0990, 0x0027, WORD_LEN, 0},
5541                                        
5542         {0x098E, 0x683F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AWB_ALGO_RUN]
5543         {0x0990, 0x0030, WORD_LEN, 0},  // MCU_DATA_0
5544         {SEQUENCE_END, 0x00}
5545 };
5546 static struct reginfo *sensor_WhiteBalanceSeqe[] = {sensor_WhiteB_Auto, sensor_WhiteB_TungstenLamp1,sensor_WhiteB_TungstenLamp2,
5547     sensor_WhiteB_ClearDay, sensor_WhiteB_Cloudy,NULL,
5548 };
5549 #endif
5550
5551 #if CONFIG_SENSOR_Brightness
5552 static  struct reginfo sensor_Brightness0[]=
5553 {
5554         {SEQUENCE_END, 0x00}
5555 };
5556
5557 static  struct reginfo sensor_Brightness1[]=
5558 {
5559         {SEQUENCE_END, 0x00}
5560 };
5561
5562 static  struct reginfo sensor_Brightness2[]=
5563 {
5564         {SEQUENCE_END, 0x00}
5565 };
5566
5567 static  struct reginfo sensor_Brightness3[]=
5568 {
5569         {SEQUENCE_END, 0x00}
5570 };
5571
5572 static  struct reginfo sensor_Brightness4[]=
5573 {
5574         {SEQUENCE_END, 0x00}
5575 };
5576
5577 static  struct reginfo sensor_Brightness5[]=
5578 {
5579         {SEQUENCE_END, 0x00}
5580 };
5581 static struct reginfo *sensor_BrightnessSeqe[] = {sensor_Brightness0, sensor_Brightness1, sensor_Brightness2, sensor_Brightness3,
5582     sensor_Brightness4, sensor_Brightness5,NULL,
5583 };
5584
5585 #endif
5586
5587 #if CONFIG_SENSOR_Effect
5588 static  struct reginfo sensor_Effect_Normal[] =
5589 {
5590         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5591         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5592         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5593         {0x0990, 0x0000, WORD_LEN, 0},  // MCU_DATA_0
5594                                         
5595         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5596         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5597         {SEQUENCE_END, 0x00}
5598 };
5599
5600 /*static  struct reginfo sensor_Effect_WandB[] =
5601 {
5602         {SEQUENCE_END, 0x00}
5603 };*/
5604
5605 static  struct reginfo sensor_Effect_Sepia[] =
5606 {
5607         {0x098E, 0xE886, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5608         {0x0990, 0x00D9, WORD_LEN, 0}, // MCU_DATA_0
5609         {0x098E, 0xE885, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5610         {0x0990, 0x0018, WORD_LEN, 0}, // MCU_DATA_0
5611                                       
5612         {0x098E, 0xEC86, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5613         {0x0990, 0x00D9, WORD_LEN, 0}, // MCU_DATA_0
5614         {0x098E, 0xEC85, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5615         {0x0990, 0x0018, WORD_LEN, 0}, // MCU_DATA_0
5616                                        
5617         {0x098E, 0xE883, WORD_LEN, 0}, // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5618         {0x0990, 0x0002, WORD_LEN, 0}, // MCU_DATA_0
5619         {0x098E, 0xEC83, WORD_LEN, 0}, // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5620         {0x0990, 0x0002, WORD_LEN, 0}, // MCU_DATA_0
5621                                        
5622         {0x098E, 0x8400, WORD_LEN, 0}, // MCU_ADDRESS [SEQ_CMD]
5623         {0x0990, 0x0006, WORD_LEN, 0}, // MCU_DATA_0
5624         {SEQUENCE_END, 0x00}
5625 };
5626
5627 static  struct reginfo sensor_Effect_Negative[] =
5628 {
5629         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5630         {0x0990, 0x0003, WORD_LEN, 0},  // MCU_DATA_0
5631         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5632         {0x0990, 0x0003, WORD_LEN, 0},  // MCU_DATA_0
5633                                      
5634         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5635         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5636
5637         {SEQUENCE_END, 0x00}
5638 };
5639 static  struct reginfo sensor_Effect_Bluish[] =
5640 {
5641         {0x098E, 0xE886, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5642         {0x0990, 0x0039, WORD_LEN, 0},  // MCU_DATA_0
5643         {0x098E, 0xE885, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5644         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0                         
5645                                                                  
5646         {0x098E, 0xEC86, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5647         {0x0990, 0x0039, WORD_LEN, 0},  // MCU_DATA_0                         
5648         {0x098E, 0xEC85, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5649         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0                         
5650                                                                 
5651         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5652         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0                         
5653         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5654         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0                         
5655                                                                 
5656         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]              
5657         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0  
5658         {SEQUENCE_END, 0x00}
5659 };
5660
5661 static  struct reginfo sensor_Effect_Green[] =
5662 {
5663         {0x098E, 0xE886, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CB]
5664         {0x0990, 0x00D4, WORD_LEN, 0},  // MCU_DATA_0
5665         {0x098E, 0xE885, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SEPIA_CR]
5666         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0
5667                                          
5668         {0x098E, 0xEC86, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CB]
5669         {0x0990, 0x00D4, WORD_LEN, 0},  // MCU_DATA_0
5670         {0x098E, 0xEC85, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SEPIA_CR]
5671         {0x0990, 0x00E8, WORD_LEN, 0},  // MCU_DATA_0
5672                                          
5673         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5674         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0
5675         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5676         {0x0990, 0x0002, WORD_LEN, 0},  // MCU_DATA_0
5677                                          
5678         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5679         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5680         {SEQUENCE_END, 0x00}
5681 };
5682
5683 static struct reginfo sensor_Effect_Solarize[] =
5684 {
5685         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5686         {0x0990, 0x0004, WORD_LEN, 0},  // MCU_DATA_0
5687         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5688         {0x0990, 0x0004, WORD_LEN, 0},  // MCU_DATA_0
5689                                          
5690         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5691         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0   
5692         {SEQUENCE_END, 0x00}
5693 };
5694
5695
5696 static struct reginfo sensor_Effect_Grayscale[] =
5697 {
5698         {0x098E, 0xE883, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_SYSCTRL_SELECT_FX]
5699         {0x0990, 0x0001, WORD_LEN, 0},  // MCU_DATA_0
5700         {0x098E, 0xEC83, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_SYSCTRL_SELECT_FX]
5701         {0x0990, 0x0001, WORD_LEN, 0},  // MCU_DATA_0
5702                                         
5703         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5704         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5705         {SEQUENCE_END, 0x00}
5706 };
5707
5708
5709 static struct reginfo *sensor_EffectSeqe[] = {sensor_Effect_Normal, sensor_Effect_Negative,sensor_Effect_Sepia,
5710     sensor_Effect_Solarize,sensor_Effect_Bluish,sensor_Effect_Green,sensor_Effect_Grayscale,NULL,
5711 };
5712 #endif
5713 #if CONFIG_SENSOR_Exposure
5714 static  struct reginfo sensor_Exposure0[]=
5715 {
5716         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5717         {0x0990, 0x000C, WORD_LEN, 0},  // MCU_DATA_0
5718         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5719         {0x0990, 0x000C, WORD_LEN, 0},  // MCU_DATA_0
5720         {SEQUENCE_END, 0x00}
5721 };
5722
5723 static  struct reginfo sensor_Exposure1[]=
5724 {
5725         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5726         {0x0990, 0x0011, WORD_LEN, 0},          // MCU_DATA_0
5727         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5728         {0x0990, 0x0011, WORD_LEN, 0},          // MCU_DATA_0 
5729         {SEQUENCE_END, 0x00}
5730 };
5731
5732 static  struct reginfo sensor_Exposure2[]=
5733 {
5734         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5735         {0x0990, 0x0016, WORD_LEN, 0},          // MCU_DATA_0
5736         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5737         {0x0990, 0x0016, WORD_LEN, 0},          // MCU_DATA_0 
5738         {SEQUENCE_END, 0x00}
5739 };
5740
5741 static  struct reginfo sensor_Exposure3[]=
5742 {
5743          {0x098E, 0xE81F, WORD_LEN, 0},         // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5744         {0x0990, 0x001B, WORD_LEN, 0},          // MCU_DATA_0
5745         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5746         {0x0990, 0x001B, WORD_LEN, 0},          // MCU_DATA_0 
5747         {SEQUENCE_END, 0x00}
5748 };
5749
5750 static  struct reginfo sensor_Exposure4[]=
5751 {
5752         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5753         {0x0990, 0x0020, WORD_LEN, 0},          // MCU_DATA_0
5754         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5755         {0x0990, 0x0020, WORD_LEN, 0},          // MCU_DATA_0
5756         {SEQUENCE_END, 0x00}
5757 };
5758
5759 static  struct reginfo sensor_Exposure5[]=
5760 {
5761         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5762         {0x0990, 0x0025, WORD_LEN, 0},          // MCU_DATA_0
5763         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5764         {0x0990, 0x0025, WORD_LEN, 0},          // MCU_DATA_0 
5765         {SEQUENCE_END, 0x00}
5766 };
5767
5768 static  struct reginfo sensor_Exposure6[]=
5769 {
5770         {0x098E, 0xE81F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5771         {0x0990, 0x002A, WORD_LEN, 0},          // MCU_DATA_0
5772         {0x098E, 0xEC1F, WORD_LEN, 0},          // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5773         {0x0990, 0x002A, WORD_LEN, 0},          // MCU_DATA_0 
5774         {SEQUENCE_END, 0x00}
5775 };
5776
5777 static  struct reginfo sensor_Exposure7[]=
5778 {
5779         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5780         {0x0990, 0x002F, WORD_LEN, 0},  // MCU_DATA_0
5781         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5782         {0x0990, 0x002F, WORD_LEN, 0},  // MCU_DATA_0 
5783         {SEQUENCE_END, 0x00}
5784 };
5785
5786 static  struct reginfo sensor_Exposure8[]=
5787 {
5788         {0x098E, 0xE81F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_A_CONFIG_AE_RULE_BASE_TARGET]
5789         {0x0990, 0x0034, WORD_LEN, 0},  // MCU_DATA_0
5790         {0x098E, 0xEC1F, WORD_LEN, 0},  // MCU_ADDRESS [PRI_B_CONFIG_AE_RULE_BASE_TARGET]
5791         {0x0990, 0x0034, WORD_LEN, 0},  // MCU_DATA_0 
5792         {SEQUENCE_END, 0x00}
5793 };
5794
5795 static struct reginfo *sensor_ExposureSeqe[] = {sensor_Exposure0, sensor_Exposure1, sensor_Exposure2, sensor_Exposure3,
5796     sensor_Exposure4, sensor_Exposure5,sensor_Exposure6,sensor_Exposure7,sensor_Exposure8,NULL,
5797 };
5798 #endif
5799 #if CONFIG_SENSOR_Saturation
5800 static  struct reginfo sensor_Saturation0[]=
5801 {
5802         {SEQUENCE_END, 0x00}
5803 };
5804
5805 static  struct reginfo sensor_Saturation1[]=
5806 {
5807         {SEQUENCE_END, 0x00}
5808 };
5809
5810 static  struct reginfo sensor_Saturation2[]=
5811 {
5812         {SEQUENCE_END, 0x00}
5813 };
5814 static struct reginfo *sensor_SaturationSeqe[] = {sensor_Saturation0, sensor_Saturation1, sensor_Saturation2, NULL,};
5815
5816 #endif
5817 #if CONFIG_SENSOR_Contrast
5818 static  struct reginfo sensor_Contrast0[]=
5819 {
5820         {SEQUENCE_END, 0x00}
5821 };
5822
5823 static  struct reginfo sensor_Contrast1[]=
5824 {
5825         {SEQUENCE_END, 0x00}
5826 };
5827
5828 static  struct reginfo sensor_Contrast2[]=
5829 {
5830         {SEQUENCE_END, 0x00}
5831 };
5832
5833 static  struct reginfo sensor_Contrast3[]=
5834 {
5835         {SEQUENCE_END, 0x00}
5836 };
5837
5838 static  struct reginfo sensor_Contrast4[]=
5839 {
5840         {SEQUENCE_END, 0x00}
5841 };
5842
5843
5844 static  struct reginfo sensor_Contrast5[]=
5845 {
5846         {SEQUENCE_END, 0x00}
5847 };
5848
5849 static  struct reginfo sensor_Contrast6[]=
5850 {
5851         {SEQUENCE_END, 0x00}
5852 };
5853 static struct reginfo *sensor_ContrastSeqe[] = {sensor_Contrast0, sensor_Contrast1, sensor_Contrast2, sensor_Contrast3,
5854     sensor_Contrast4, sensor_Contrast5, sensor_Contrast6, NULL,
5855 };
5856
5857 #endif
5858 #if CONFIG_SENSOR_Mirror
5859 static  struct reginfo sensor_MirrorOn[]=
5860 {
5861         {SEQUENCE_END, 0x00}
5862 };
5863
5864 static  struct reginfo sensor_MirrorOff[]=
5865 {
5866         {SEQUENCE_END, 0x00}
5867 };
5868 static struct reginfo *sensor_MirrorSeqe[] = {sensor_MirrorOff, sensor_MirrorOn,NULL,};
5869 #endif
5870 #if CONFIG_SENSOR_Flip
5871 static  struct reginfo sensor_FlipOn[]=
5872 {
5873         {SEQUENCE_END, 0x00}
5874 };
5875
5876 static  struct reginfo sensor_FlipOff[]=
5877 {
5878         {SEQUENCE_END, 0x00}
5879 };
5880 static struct reginfo *sensor_FlipSeqe[] = {sensor_FlipOff, sensor_FlipOn,NULL,};
5881
5882 #endif
5883 #if CONFIG_SENSOR_Scene
5884 static  struct reginfo sensor_SceneAuto[] =
5885 {
5886         {0x098E, 0x483E, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5887         {0x0990, 0x0120, WORD_LEN, 0},  // MCU_DATA_0
5888         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5889         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5890         {SEQUENCE_END, 0x00}
5891 };
5892
5893 static  struct reginfo sensor_SceneNight[] =
5894 {
5895         {0x098E, 0x483E, WORD_LEN, 0},  // MCU_ADDRESS [CAM1_CTX_A_DIGITAL_GAIN]
5896         {0x0990, 0x0080, WORD_LEN, 0},  // MCU_DATA_0
5897         {0x098E, 0x8400, WORD_LEN, 0},  // MCU_ADDRESS [SEQ_CMD]
5898         {0x0990, 0x0006, WORD_LEN, 0},  // MCU_DATA_0
5899         {SEQUENCE_END, 0x00}
5900 };
5901 static struct reginfo *sensor_SceneSeqe[] = {sensor_SceneAuto, sensor_SceneNight,NULL,};
5902
5903 #endif
5904 #if CONFIG_SENSOR_DigitalZoom
5905 static struct reginfo sensor_Zoom0[] =
5906 {
5907         {SEQUENCE_END, 0x00}
5908 };
5909
5910 static struct reginfo sensor_Zoom1[] =
5911 {
5912         {SEQUENCE_END, 0x00}
5913 };
5914
5915 static struct reginfo sensor_Zoom2[] =
5916 {
5917         {SEQUENCE_END, 0x00}
5918 };
5919
5920
5921 static struct reginfo sensor_Zoom3[] =
5922 {
5923         {SEQUENCE_END, 0x00}
5924 };
5925 static struct reginfo *sensor_ZoomSeqe[] = {sensor_Zoom0, sensor_Zoom1, sensor_Zoom2, sensor_Zoom3, NULL};
5926 #endif
5927 static const struct v4l2_querymenu sensor_menus[] =
5928 {
5929         #if CONFIG_SENSOR_WhiteBalance
5930     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 0,  .name = "auto",  .reserved = 0, }, {  .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 1, .name = "incandescent",  .reserved = 0,},
5931     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 2,  .name = "fluorescent", .reserved = 0,}, {  .id = V4L2_CID_DO_WHITE_BALANCE, .index = 3,  .name = "daylight", .reserved = 0,},
5932     { .id = V4L2_CID_DO_WHITE_BALANCE,  .index = 4,  .name = "cloudy-daylight", .reserved = 0,},
5933     #endif
5934
5935         #if CONFIG_SENSOR_Effect
5936     { .id = V4L2_CID_EFFECT,  .index = 0,  .name = "none",  .reserved = 0, }, {  .id = V4L2_CID_EFFECT,  .index = 1, .name = "negative",  .reserved = 0,},
5937     { .id = V4L2_CID_EFFECT,  .index = 2,  .name = "sepia", .reserved = 0,}, {  .id = V4L2_CID_EFFECT, .index = 3,  .name = "solarize", .reserved = 0,},
5938      { .id = V4L2_CID_EFFECT,  .index = 4,  .name = "bluish",  .reserved = 0, }, {  .id = V4L2_CID_EFFECT,  .index = 5, .name = "green",  .reserved = 0,},
5939     { .id = V4L2_CID_EFFECT,  .index = 6,  .name = "graycale", .reserved = 0,}, 
5940     #endif
5941 #if CONFIG_SENSOR_Exposure
5942         { .id = V4L2_CID_EXPOSURE,  .index = 0,  .name = "-4",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 1, .name = "-3",  .reserved = 0,},
5943         { .id = V4L2_CID_EXPOSURE,  .index = 2,  .name = "-2", .reserved = 0,}, {  .id = V4L2_CID_EXPOSURE, .index = 3,  .name = "-1", .reserved = 0,},
5944         { .id = V4L2_CID_EXPOSURE,  .index = 4,  .name = "0",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 5, .name = "+1",  .reserved = 0,},
5945         { .id = V4L2_CID_EXPOSURE,  .index = 6,  .name = "+2",  .reserved = 0, }, {  .id = V4L2_CID_EXPOSURE,  .index = 7, .name = "+3",  .reserved = 0,},
5946         { .id = V4L2_CID_EXPOSURE,  .index = 8,  .name = "+4",  .reserved = 0, }, 
5947 #endif
5948         #if CONFIG_SENSOR_Scene
5949     { .id = V4L2_CID_SCENE,  .index = 0, .name = "auto", .reserved = 0,} ,{ .id = V4L2_CID_SCENE,  .index = 1,  .name = "night", .reserved = 0,},
5950     #endif
5951
5952         #if CONFIG_SENSOR_Flash
5953         { .id = V4L2_CID_FLASH,  .index = 0,  .name = "off",  .reserved = 0, }, {  .id = V4L2_CID_FLASH,  .index = 1, .name = "auto",  .reserved = 0,},
5954         { .id = V4L2_CID_FLASH,  .index = 2,  .name = "on", .reserved = 0,}, {  .id = V4L2_CID_FLASH, .index = 3,  .name = "torch", .reserved = 0,},
5955   
5956     //{ .id = V4L2_CID_FLASH,  .index = 0,  .name = "off",  .reserved = 0, }, {  .id = V4L2_CID_FLASH,  .index = 1, .name = "on",  .reserved = 0,},
5957     #endif
5958 };
5959
5960 static const struct v4l2_queryctrl sensor_controls[] =
5961 {
5962         #if CONFIG_SENSOR_WhiteBalance
5963     {
5964         .id             = V4L2_CID_DO_WHITE_BALANCE,
5965         .type           = V4L2_CTRL_TYPE_MENU,
5966         .name           = "White Balance Control",
5967         .minimum        = 0,
5968         .maximum        = 4,
5969         .step           = 1,
5970         .default_value = 0,
5971     },
5972     #endif
5973
5974         #if CONFIG_SENSOR_Brightness
5975         {
5976         .id             = V4L2_CID_BRIGHTNESS,
5977         .type           = V4L2_CTRL_TYPE_INTEGER,
5978         .name           = "Brightness Control",
5979         .minimum        = -3,
5980         .maximum        = 2,
5981         .step           = 1,
5982         .default_value = 0,
5983     },
5984     #endif
5985
5986         #if CONFIG_SENSOR_Effect
5987         {
5988         .id             = V4L2_CID_EFFECT,
5989         .type           = V4L2_CTRL_TYPE_MENU,
5990         .name           = "Effect Control",
5991         .minimum        = 0,
5992         .maximum        = 6,
5993         .step           = 1,
5994         .default_value = 0,
5995     },
5996         #endif
5997
5998         #if CONFIG_SENSOR_Exposure
5999         {
6000         .id             = V4L2_CID_EXPOSURE,
6001         .type           = V4L2_CTRL_TYPE_INTEGER,
6002         .name           = "Exposure Control",
6003         .minimum        = 0,
6004         .maximum        = 8,
6005         .step           = 1,
6006         .default_value = 0,
6007     },
6008         #endif
6009
6010         #if CONFIG_SENSOR_Saturation
6011         {
6012         .id             = V4L2_CID_SATURATION,
6013         .type           = V4L2_CTRL_TYPE_INTEGER,
6014         .name           = "Saturation Control",
6015         .minimum        = 0,
6016         .maximum        = 2,
6017         .step           = 1,
6018         .default_value = 0,
6019     },
6020     #endif
6021
6022         #if CONFIG_SENSOR_Contrast
6023         {
6024         .id             = V4L2_CID_CONTRAST,
6025         .type           = V4L2_CTRL_TYPE_INTEGER,
6026         .name           = "Contrast Control",
6027         .minimum        = -3,
6028         .maximum        = 3,
6029         .step           = 1,
6030         .default_value = 0,
6031     },
6032         #endif
6033
6034         #if CONFIG_SENSOR_Mirror
6035         {
6036         .id             = V4L2_CID_HFLIP,
6037         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6038         .name           = "Mirror Control",
6039         .minimum        = 0,
6040         .maximum        = 1,
6041         .step           = 1,
6042         .default_value = 1,
6043     },
6044     #endif
6045
6046         #if CONFIG_SENSOR_Flip
6047         {
6048         .id             = V4L2_CID_VFLIP,
6049         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6050         .name           = "Flip Control",
6051         .minimum        = 0,
6052         .maximum        = 1,
6053         .step           = 1,
6054         .default_value = 1,
6055     },
6056     #endif
6057
6058         #if CONFIG_SENSOR_Scene
6059     {
6060         .id             = V4L2_CID_SCENE,
6061         .type           = V4L2_CTRL_TYPE_MENU,
6062         .name           = "Scene Control",
6063         .minimum        = 0,
6064         .maximum        = 1,
6065         .step           = 1,
6066         .default_value = 0,
6067     },
6068     #endif
6069
6070         #if CONFIG_SENSOR_DigitalZoom
6071     {
6072         .id             = V4L2_CID_ZOOM_RELATIVE,
6073         .type           = V4L2_CTRL_TYPE_INTEGER,
6074         .name           = "DigitalZoom Control",
6075         .minimum        = -1,
6076         .maximum        = 1,
6077         .step           = 1,
6078         .default_value = 0,
6079     }, {
6080         .id             = V4L2_CID_ZOOM_ABSOLUTE,
6081         .type           = V4L2_CTRL_TYPE_INTEGER,
6082         .name           = "DigitalZoom Control",
6083         .minimum        = 0,
6084         .maximum        = 3,
6085         .step           = 1,
6086         .default_value = 0,
6087     },
6088     #endif
6089
6090         #if CONFIG_SENSOR_Focus
6091         /*{
6092         .id             = V4L2_CID_FOCUS_RELATIVE,
6093         .type           = V4L2_CTRL_TYPE_INTEGER,
6094         .name           = "Focus Control",
6095         .minimum        = -1,
6096         .maximum        = 1,
6097         .step           = 1,
6098         .default_value = 0,
6099     }, {
6100         .id             = V4L2_CID_FOCUS_ABSOLUTE,
6101         .type           = V4L2_CTRL_TYPE_INTEGER,
6102         .name           = "Focus Control",
6103         .minimum        = 0,
6104         .maximum        = 255,
6105         .step           = 1,
6106         .default_value = 125,
6107     },
6108         {
6109         .id             = V4L2_CID_FOCUS_AUTO,
6110         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6111         .name           = "Focus Control",
6112         .minimum        = 0,
6113         .maximum        = 1,
6114         .step           = 1,
6115         .default_value = 0,
6116     },*/{
6117         .id             = V4L2_CID_FOCUS_CONTINUOUS,
6118         .type           = V4L2_CTRL_TYPE_BOOLEAN,
6119         .name           = "Focus Control",
6120         .minimum        = 0,
6121         .maximum        = 1,
6122         .step           = 1,
6123         .default_value = 0,
6124     },
6125     #endif
6126
6127         #if CONFIG_SENSOR_Flash
6128         {
6129         .id             = V4L2_CID_FLASH,
6130         .type           = V4L2_CTRL_TYPE_MENU,
6131        // .type         = V4L2_CTRL_TYPE_BOOLEAN,
6132         .name           = "Flash Control",
6133         .minimum        = 0,
6134         .maximum        = 3,
6135          //.maximum     = 1,
6136         .step           = 1,
6137         .default_value = 0,
6138     },
6139         #endif
6140 };
6141
6142 static int sensor_probe(struct i2c_client *client, const struct i2c_device_id *did);
6143 static int sensor_video_probe(struct soc_camera_device *icd, struct i2c_client *client);
6144 static int sensor_g_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl);
6145 static int sensor_s_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl);
6146 static int sensor_g_ext_controls(struct v4l2_subdev *sd,  struct v4l2_ext_controls *ext_ctrl);
6147 static int sensor_s_ext_controls(struct v4l2_subdev *sd,  struct v4l2_ext_controls *ext_ctrl);
6148 static int sensor_suspend(struct soc_camera_device *icd, pm_message_t pm_msg);
6149 static int sensor_resume(struct soc_camera_device *icd);
6150 static int sensor_set_bus_param(struct soc_camera_device *icd,unsigned long flags);
6151 static unsigned long sensor_query_bus_param(struct soc_camera_device *icd);
6152 static int sensor_set_effect(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value);
6153 static int sensor_set_whiteBalance(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value);
6154 static int sensor_deactivate(struct i2c_client *client);
6155
6156 static struct soc_camera_ops sensor_ops =
6157 {
6158     .suspend                     = sensor_suspend,
6159     .resume                       = sensor_resume,
6160     .set_bus_param              = sensor_set_bus_param,
6161     .query_bus_param    = sensor_query_bus_param,
6162     .controls           = sensor_controls,
6163     .menus                         = sensor_menus,
6164     .num_controls               = ARRAY_SIZE(sensor_controls),
6165     .num_menus          = ARRAY_SIZE(sensor_menus),
6166 };
6167
6168 /* only one fixed colorspace per pixelcode */
6169 struct sensor_datafmt {
6170         enum v4l2_mbus_pixelcode code;
6171         enum v4l2_colorspace colorspace;
6172 };
6173
6174 /* Find a data format by a pixel code in an array */
6175 static const struct sensor_datafmt *sensor_find_datafmt(
6176         enum v4l2_mbus_pixelcode code, const struct sensor_datafmt *fmt,
6177         int n)
6178 {
6179         int i;
6180         for (i = 0; i < n; i++)
6181                 if (fmt[i].code == code)
6182                         return fmt + i;
6183
6184         return NULL;
6185 }
6186
6187 static const struct sensor_datafmt sensor_colour_fmts[] = {
6188     {V4L2_MBUS_FMT_UYVY8_2X8, V4L2_COLORSPACE_JPEG},
6189     {V4L2_MBUS_FMT_YUYV8_2X8, V4L2_COLORSPACE_JPEG}     
6190 };
6191
6192
6193 enum sensor_work_state
6194 {
6195         sensor_work_ready = 0,
6196         sensor_working,
6197 };
6198 struct sensor_work
6199 {
6200         struct i2c_client *client;
6201         struct delayed_work dwork;
6202         enum sensor_work_state state;
6203 };
6204
6205 typedef struct sensor_info_priv_s
6206 {
6207     int whiteBalance;
6208     int brightness;
6209     int contrast;
6210     int saturation;
6211     int effect;
6212     int scene;
6213     int digitalzoom;
6214     int focus;
6215         int auto_focus;
6216         int affm_reinit;
6217     int flash;
6218     int exposure;
6219     unsigned char mirror;                                        /* HFLIP */
6220     unsigned char flip;                                          /* VFLIP */
6221         bool snap2preview;
6222         bool video2preview;
6223         int capture_w;
6224         int capture_h;
6225         int preview_w;
6226         int preview_h;
6227     struct reginfo *winseqe_cur_addr;
6228         struct sensor_datafmt fmt;
6229         unsigned int enable;
6230         unsigned int funmodule_state;
6231 } sensor_info_priv_t;
6232
6233
6234
6235 struct sensor_parameter
6236 {
6237         unsigned short int preview_maxlines;
6238         unsigned short int preview_exposure;
6239         unsigned short int preview_line_width;
6240         unsigned short int preview_gain;
6241
6242         unsigned short int capture_framerate;
6243         unsigned short int preview_framerate;
6244 };
6245
6246 struct sensor
6247 {
6248     struct v4l2_subdev subdev;
6249     struct i2c_client *client;
6250     sensor_info_priv_t info_priv;
6251         struct sensor_parameter parameter;
6252         struct workqueue_struct *sensor_wq;
6253         struct sensor_work sensor_wk;
6254         struct mutex wq_lock;
6255     int model;  /* V4L2_IDENT_OV* codes from v4l2-chip-ident.h */
6256 #if CONFIG_SENSOR_I2C_NOSCHED
6257         atomic_t tasklock_cnt;
6258 #endif
6259         struct rk29camera_platform_data *sensor_io_request;
6260     struct rk29camera_gpio_res *sensor_gpio_res;
6261 };
6262
6263 static struct sensor* to_sensor(const struct i2c_client *client)
6264 {
6265     return container_of(i2c_get_clientdata(client), struct sensor, subdev);
6266 }
6267
6268 static int sensor_task_lock(struct i2c_client *client, int lock)
6269 {
6270 #if CONFIG_SENSOR_I2C_NOSCHED
6271         int cnt = 3;
6272     struct sensor *sensor = to_sensor(client);
6273
6274         if (lock) {
6275                 if (atomic_read(&sensor->tasklock_cnt) == 0) {
6276                         while ((atomic_read(&client->adapter->bus_lock.count) < 1) && (cnt>0)) {
6277                                 SENSOR_TR("\n %s will obtain i2c in atomic, but i2c bus is locked! Wait...\n",SENSOR_NAME_STRING());
6278                                 msleep(35);
6279                                 cnt--;
6280                         }
6281                         if ((atomic_read(&client->adapter->bus_lock.count) < 1) && (cnt<=0)) {
6282                                 SENSOR_TR("\n %s obtain i2c fail in atomic!!\n",SENSOR_NAME_STRING());
6283                                 goto sensor_task_lock_err;
6284                         }
6285                         preempt_disable();
6286                 }
6287
6288                 atomic_add(1, &sensor->tasklock_cnt);
6289         } else {
6290                 if (atomic_read(&sensor->tasklock_cnt) > 0) {
6291                         atomic_sub(1, &sensor->tasklock_cnt);
6292
6293                         if (atomic_read(&sensor->tasklock_cnt) == 0)
6294                                 preempt_enable();
6295                 }
6296         }
6297     
6298         return 0;
6299 sensor_task_lock_err:
6300         return -1;    
6301 #else
6302     return 0;
6303 #endif
6304 }
6305
6306 /* sensor register write */
6307
6308 #if CONFIG_SENSOR_WRITE_REGS
6309 static int sensor_write_regs(struct i2c_client *client,  u8 *reg_info, int num)
6310 {
6311         int err=0,cnt;
6312         struct i2c_msg msg[1];
6313
6314         msg->len = num; 
6315         msg->addr = client->addr;       
6316         msg->flags = client->flags;     
6317         msg->buf = reg_info;    
6318         msg->scl_rate = CONFIG_SENSOR_I2C_SPEED;         /* ddl@rock-chips.com : 100kHz */      
6319         msg->read_type = 0;               /* fpga i2c:0==I2C_NORMAL : direct use number not enum for don't want include spi_fpga.h */   
6320
6321         
6322         cnt= 3; 
6323         err = -EAGAIN;
6324         
6325         while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */             
6326                 err = i2c_transfer(client->adapter, msg, 1);            
6327                 if (err >= 0) {                     
6328                         return 0;               
6329                 } else {                            
6330                         SENSOR_TR("\n %s write reg failed, try to write again!\n",      SENSOR_NAME_STRING());                      
6331                         udelay(10);     
6332                 }       
6333         }
6334         
6335         return err;
6336
6337 }
6338
6339 #endif
6340
6341 static int sensor_write(struct i2c_client *client, struct reginfo *reg_info)
6342 {
6343     int err=0,cnt;
6344     u8 buf[4];
6345     struct i2c_msg msg[1];
6346
6347         switch (reg_info->reg)
6348         {
6349                 case SEQUENCE_WAIT_MS:
6350                 {
6351                         if (in_atomic())
6352                                 mdelay(reg_info->val);
6353                         else
6354                                 msleep(reg_info->val);
6355                         break;
6356                 }
6357
6358                 case SEQUENCE_WAIT_US:
6359                 {
6360                         udelay(reg_info->val);
6361                         break;
6362                 }
6363
6364                 case SEQUENCE_PROPERTY:
6365                 {
6366                         break;
6367                 }
6368                 default:
6369                 {
6370                     buf[0] = reg_info->reg >> 8;
6371                     buf[1] = reg_info->reg & 0xFF;
6372                         if (reg_info->reg_len == WORD_LEN) {
6373                                 buf[2] = reg_info->val >> 8;
6374                                 buf[3] = reg_info->val & 0xFF;
6375
6376                                 msg->len = 4;
6377                         } else if (reg_info->reg_len == BYTE_LEN) {
6378                                 buf[2] = reg_info->val;
6379                                 msg->len = 3;
6380                         }
6381
6382                     msg->addr = client->addr;
6383                     msg->flags = client->flags;
6384                     msg->buf = buf;
6385                     msg->scl_rate = CONFIG_SENSOR_I2C_SPEED;         /* ddl@rock-chips.com : 100kHz */
6386                     msg->read_type = 0;               /* fpga i2c:0==I2C_NORMAL : direct use number not enum for don't want include spi_fpga.h */
6387
6388                     cnt = 3;
6389                     err = -EAGAIN;
6390
6391                     while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */
6392                         err = i2c_transfer(client->adapter, msg, 1);
6393
6394                         if (err >= 0) {
6395                             return 0;
6396                         } else {
6397                             SENSOR_TR("\n %s write reg(0x%x, val:0x%x) failed, try to write again!\n",SENSOR_NAME_STRING(),reg_info->reg, reg_info->val);
6398                             udelay(10);
6399                         }
6400                     }
6401                 }
6402         }
6403     return err;
6404 }
6405
6406 /* sensor register read */
6407 static int sensor_read(struct i2c_client *client, u16 reg, u16 *val)
6408 {
6409     int err,cnt;
6410     u8 buf[2];
6411     u16 temp_val;
6412     struct i2c_msg msg[2];
6413
6414     buf[0] = reg >> 8;
6415     buf[1] = reg & 0xFF;
6416
6417     msg[0].addr = client->addr;
6418     msg[0].flags = client->flags;
6419     msg[0].buf = buf;
6420     msg[0].len = sizeof(buf);
6421     msg[0].scl_rate = CONFIG_SENSOR_I2C_SPEED;       /* ddl@rock-chips.com : 100kHz */
6422     msg[0].read_type = 2;   /* fpga i2c:0==I2C_NO_STOP : direct use number not enum for don't want include spi_fpga.h */
6423
6424     msg[1].addr = client->addr;
6425     msg[1].flags = client->flags|I2C_M_RD;
6426     msg[1].buf = buf;
6427     msg[1].len = 2;
6428     msg[1].scl_rate = CONFIG_SENSOR_I2C_SPEED;                       /* ddl@rock-chips.com : 100kHz */
6429     msg[1].read_type = 2;                             /* fpga i2c:0==I2C_NO_STOP : direct use number not enum for don't want include spi_fpga.h */
6430
6431     cnt = 3;
6432     err = -EAGAIN;
6433     while ((cnt-- > 0) && (err < 0)) {                       /* ddl@rock-chips.com :  Transfer again if transent is failed   */
6434         err = i2c_transfer(client->adapter, msg, 2);
6435
6436         if (err >= 0) {
6437                 temp_val = buf[0];
6438                 temp_val = temp_val << 8;
6439                 temp_val = temp_val |buf[1];
6440                 *val = temp_val;
6441             return 0;
6442         } else {
6443                 SENSOR_TR("\n %s read reg(0x%x val:0x%x) failed, try to read again! \n",SENSOR_NAME_STRING(),reg, *val);
6444             udelay(10);
6445         }
6446     }
6447
6448     return err;
6449 }
6450
6451 /* write a array of registers  */
6452 static int sensor_write_array(struct i2c_client *client, struct reginfo *regarray)
6453 {
6454     int err = 0, cnt;
6455     int i = 0;
6456 #if CONFIG_SENSOR_WRITE_REGS    
6457         int j = 0, reg_num;
6458         u8 *ptemp, *phead;
6459         int reg_length = 2;
6460 #endif
6461
6462 #if CONFIG_SENSOR_I2C_RDWRCHK
6463         char valchk;
6464 #endif
6465
6466         cnt = 0;
6467         if (sensor_task_lock(client, 1) < 0)
6468                 goto sensor_write_array_end;
6469     while (regarray[i].reg != SEQUENCE_END) {
6470 #if CONFIG_SENSOR_WRITE_REGS
6471                 j = i;          
6472                 reg_num = 1;    
6473                 
6474                 if(WORD_LEN == regarray[i].reg_len) {
6475                         reg_length = 0x0002;
6476                 } else if (BYTE_LEN == regarray[i].reg_len) {
6477                         reg_length = 0x0001;
6478                 }
6479                                 
6480                 while((regarray[i].reg + reg_length) == regarray[i+1].reg) {                    
6481                         i++;                    
6482                         reg_num++;              
6483                 }
6484                 
6485                 if(reg_num > 1) {
6486                         int size_num;
6487                         
6488                         if(0x0002 == reg_length) {
6489                                 size_num = 2*(reg_num + 1);
6490                         } else {
6491                                 size_num = reg_num + 1;
6492                         }
6493                         
6494                         ptemp = phead = (u8*)kmalloc(size_num*sizeof(u8),GFP_KERNEL);
6495             if (phead == NULL) {
6496                                 SENSOR_TR("%s write registers allocate memory fail!!!\n",SENSOR_NAME_STRING());
6497                 i = j;
6498                 err = sensor_write(client, &regarray[i]);                
6499                         } else {                        
6500                         *phead = regarray[j].reg >> 8;                  
6501                         *(ptemp+1) = regarray[j].reg & 0xFF;                    
6502                                                 
6503                         ptemp += 2;                     
6504                                         
6505                         if(0x0002 == reg_length) {
6506                                 int temp = 0;   
6507                                 for( ; reg_num > 0; reg_num --, j++, temp ++) {
6508                                         *(ptemp + 2*temp) =  regarray[j].val >> 8;                              
6509                                         *(ptemp + 2*temp + 1) =  regarray[j].val & 0xFF;        
6510                                 }
6511                         } else {
6512                                 for( ; reg_num > 0; reg_num --, j++)
6513                                 {
6514                                         *ptemp ++ = regarray[j].val;
6515                                 }
6516                         }
6517                         
6518                         ptemp = phead;
6519                         err = sensor_write_regs(client, ptemp,size_num);                        
6520                         kfree(phead);   
6521                         }
6522                 } else {                
6523                         err = sensor_write(client, &regarray[i]);       
6524                 }
6525 #else
6526                 err = sensor_write(client, &regarray[i]);
6527 #endif
6528         if (err < 0) {
6529             if (cnt-- > 0) {
6530                             SENSOR_TR("%s..write failed current reg:0x%x, Write array again !\n", SENSOR_NAME_STRING(),regarray[i].reg);
6531                                 i = 0;
6532                                 continue;
6533             } else {
6534                 SENSOR_TR("%s..write array failed!!!\n", SENSOR_NAME_STRING());
6535                 err = -EPERM;
6536                                 goto sensor_write_array_end;
6537             }
6538         } else {
6539         #if CONFIG_SENSOR_I2C_RDWRCHK
6540                         sensor_read(client, regarray[i].reg, &valchk);
6541                         if (valchk != regarray[i].val)
6542                                 SENSOR_TR("%s Reg:0x%x write(0x%x, 0x%x) fail\n",SENSOR_NAME_STRING(), regarray[i].reg, regarray[i].val, valchk);
6543                 #endif
6544         }
6545
6546         i++;
6547     }
6548
6549 sensor_write_array_end:
6550         sensor_task_lock(client,0);
6551     return err;
6552 }
6553 #if CONFIG_SENSOR_I2C_RDWRCHK
6554 static int sensor_readchk_array(struct i2c_client *client, struct reginfo *regarray)
6555 {
6556     int cnt;
6557     int i = 0;
6558         char valchk;
6559
6560         cnt = 0;
6561         valchk = 0;
6562     while (regarray[i].reg != SEQUENCE_END)
6563     {
6564                 sensor_read(client, regarray[i].reg, &valchk);
6565                 if (valchk != regarray[i].val)
6566                         SENSOR_TR("%s Reg:0x%x read(0x%x, 0x%x) error\n",SENSOR_NAME_STRING(), regarray[i].reg, regarray[i].val, valchk);
6567
6568         i++;
6569     }
6570     return 0;
6571 }
6572 #endif
6573 #if CONFIG_SENSOR_Focus
6574 static struct reginfo sensor_af_init0[] =
6575 {
6576         {0x098E, 0x4403, WORD_LEN, 0}, 
6577         {0x0990, 0x8001, WORD_LEN, 0}, 
6578         {0x098E, 0x440B, WORD_LEN, 0}, 
6579         {0x0990, 0x0000, WORD_LEN, 0}, //032
6580         {0x098E, 0x440D, WORD_LEN, 0}, 
6581         {0x0990, 0x03B6, WORD_LEN, 0}, 
6582         {0x098E, 0x8400, WORD_LEN, 0}, 
6583         {0x0990, 0x0006, WORD_LEN, 0}, 
6584
6585
6586         {0x098E, 0x3003, WORD_LEN, 0}, 
6587         {0x0990, 0x0001, WORD_LEN, 0}, 
6588         {0x098E, 0xB024, WORD_LEN, 0}, 
6589         {0x0990, 0x0000, WORD_LEN, 0}, 
6590         {0x098E, 0x3003, WORD_LEN, 0}, 
6591         {0x0990, 0x0010, WORD_LEN, 0}, 
6592         {0x098E, 0xB019, WORD_LEN, 0}, 
6593         {0x0990, 0x0001, WORD_LEN, 0}, 
6594         {0x098E, 0xB019, WORD_LEN, 0}, 
6595         {0x0990, 0x0001, WORD_LEN, 0}, 
6596         {0x098E, 0xB019, WORD_LEN, 0}, 
6597         {0x0990, 0x0001, WORD_LEN, 0}, 
6598         {SEQUENCE_END, 0x00}
6599 };
6600
6601
6602 static struct reginfo sensor_af_trigger[] =
6603 {
6604         {SEQUENCE_END, 0x00}
6605 };
6606 static int sensor_af_single(struct i2c_client *client)
6607 {
6608         int ret = 0;
6609
6610         ret = sensor_write_array(client, sensor_af_trigger);
6611         if (ret<0)
6612         {
6613                 SENSOR_TR("%s sensor auto focus trigger fail!!\n",SENSOR_NAME_STRING());
6614                 goto sensor_af_single_end;
6615         }else{
6616                 SENSOR_DG("%s sensor auto focus trigger success!\n",SENSOR_NAME_STRING());
6617         }
6618 sensor_af_single_end:
6619         return ret;
6620 }
6621
6622 static int sensor_af_const(struct i2c_client *client)
6623 {
6624         int ret = 0;
6625
6626 //sensor_af_const_end:
6627         return ret;
6628 }
6629
6630 static int sensor_af_zoneupdate(struct i2c_client *client)
6631 {
6632         int ret = 0;
6633
6634         return ret;
6635 }
6636
6637 static int sensor_af_init(struct i2c_client *client)
6638 {
6639         int ret = 0;
6640
6641         ret = sensor_write_array(client, sensor_af_init0);
6642         if (ret<0) {
6643                 SENSOR_TR("%s sensor auto focus init_0 fail!!",SENSOR_NAME_STRING());
6644         }
6645
6646         return ret;
6647 }
6648 #endif
6649
6650 static int sensor_ioctrl(struct soc_camera_device *icd,enum rk29sensor_power_cmd cmd, int on)
6651 {
6652         struct soc_camera_link *icl = to_soc_camera_link(icd);
6653         int ret = 0;
6654
6655     SENSOR_DG("%s %s  cmd(%d) on(%d)\n",SENSOR_NAME_STRING(),__FUNCTION__,cmd,on);
6656         switch (cmd)
6657         {
6658                 case Sensor_PowerDown:
6659                 {
6660                         if (icl->powerdown) {
6661                                 ret = icl->powerdown(icd->pdev, on);
6662                                 if (ret == RK29_CAM_IO_SUCCESS) {
6663                                         if (on == 0) {
6664                                                 mdelay(2);
6665                                                 if (icl->reset)
6666                                                         icl->reset(icd->pdev);
6667                                         }
6668                                 } else if (ret == RK29_CAM_EIO_REQUESTFAIL) {
6669                                         ret = -ENODEV;
6670                                         goto sensor_power_end;
6671                                 }
6672                         }
6673                         break;
6674                 }
6675                 case Sensor_Flash:
6676                 {
6677                         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
6678                 struct sensor *sensor = to_sensor(client);
6679
6680                         if (sensor->sensor_io_request && sensor->sensor_io_request->sensor_ioctrl) {
6681                                 sensor->sensor_io_request->sensor_ioctrl(icd->pdev,Cam_Flash, on);
6682                         }
6683                         break;
6684                 }
6685                 default:
6686                 {
6687                         SENSOR_TR("%s %s cmd(0x%x) is unknown!",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
6688                         break;
6689                 }
6690         }
6691
6692 sensor_power_end:
6693         return ret;
6694 }
6695 static int sensor_init(struct v4l2_subdev *sd, u32 val)
6696 {
6697     struct i2c_client *client = v4l2_get_subdevdata(sd);
6698     struct soc_camera_device *icd = client->dev.platform_data;
6699     struct sensor *sensor = to_sensor(client);
6700         const struct v4l2_queryctrl *qctrl;
6701     const struct sensor_datafmt *fmt;
6702     int ret,pid = 0;
6703 #if (SENSOR_RESET_REG != SEQUENCE_END)
6704     struct reginfo reg_info;
6705 #endif
6706
6707         if (sensor_ioctrl(icd, Sensor_PowerDown, 0) < 0) {
6708                 ret = -ENODEV;
6709                 goto sensor_INIT_ERR;
6710         }
6711
6712     /* soft reset */
6713         if (sensor_task_lock(client,1)<0)
6714                 goto sensor_INIT_ERR;
6715
6716 #if (SENSOR_RESET_REG != SEQUENCE_END)
6717         reg_info.reg = SENSOR_RESET_REG;
6718         reg_info.val = SENSOR_RESET_VAL;
6719         reg_info.reg_len = SENSOR_RESET_REG_LEN;
6720     ret = sensor_write(client, &reg_info);
6721     if (ret != 0) {
6722         SENSOR_TR("%s soft reset sensor failed\n",SENSOR_NAME_STRING());
6723         ret = -ENODEV;
6724                 goto sensor_INIT_ERR;
6725     }
6726
6727     mdelay(5);  //delay 5 microseconds
6728 #endif
6729         /* check if it is an sensor sensor */
6730 #if (SENSOR_ID_REG != SEQUENCE_END)
6731     ret = sensor_read(client, SENSOR_ID_REG, &pid);
6732     if (ret != 0) {
6733         SENSOR_TR("read chip id failed\n");
6734         ret = -ENODEV;
6735         goto sensor_INIT_ERR;
6736     }
6737         
6738     SENSOR_DG("\n %s  pid = 0x%x \n", SENSOR_NAME_STRING(), pid);
6739 #else
6740         pid = SENSOR_ID;
6741 #endif
6742     if (pid == SENSOR_ID) {
6743         sensor->model = SENSOR_V4L2_IDENT;
6744     } else {
6745         SENSOR_TR("error: %s mismatched   pid = 0x%x\n", SENSOR_NAME_STRING(), pid);
6746         ret = -ENODEV;
6747         goto sensor_INIT_ERR;
6748     }
6749         
6750     ret = sensor_write_array(client, sensor_init_data);
6751     if (ret != 0)
6752     {
6753         SENSOR_TR("error: %s initial failed\n",SENSOR_NAME_STRING());
6754         goto sensor_INIT_ERR;
6755     }   
6756         sensor_task_lock(client,0);
6757         
6758     sensor->info_priv.preview_w = SENSOR_INIT_WIDTH;
6759     sensor->info_priv.preview_h = SENSOR_INIT_HEIGHT;
6760     sensor->info_priv.capture_w = SENSOR_MAX_WIDTH;
6761     sensor->info_priv.capture_h = SENSOR_MAX_HEIGHT;
6762         sensor->info_priv.winseqe_cur_addr  = SENSOR_INIT_WINSEQADR;
6763     fmt = sensor_find_datafmt(SENSOR_INIT_PIXFMT,sensor_colour_fmts, ARRAY_SIZE(sensor_colour_fmts));
6764     if (!fmt) {
6765         SENSOR_TR("error: %s initial array colour fmts is not support!!",SENSOR_NAME_STRING());
6766         ret = -EINVAL;
6767         goto sensor_INIT_ERR;
6768     }
6769         sensor->info_priv.fmt = *fmt;
6770     /* sensor sensor information for initialization  */
6771         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_DO_WHITE_BALANCE);
6772         if (qctrl)
6773         sensor->info_priv.whiteBalance = qctrl->default_value;
6774         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_BRIGHTNESS);
6775         if (qctrl)
6776         sensor->info_priv.brightness = qctrl->default_value;
6777         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_EFFECT);
6778         if (qctrl)
6779         sensor->info_priv.effect = qctrl->default_value;
6780         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_EXPOSURE);
6781         if (qctrl)
6782         sensor->info_priv.exposure = qctrl->default_value;
6783
6784         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_SATURATION);
6785         if (qctrl)
6786         sensor->info_priv.saturation = qctrl->default_value;
6787         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_CONTRAST);
6788         if (qctrl)
6789         sensor->info_priv.contrast = qctrl->default_value;
6790         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_HFLIP);
6791         if (qctrl)
6792         sensor->info_priv.mirror = qctrl->default_value;
6793         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_VFLIP);
6794         if (qctrl)
6795         sensor->info_priv.flip = qctrl->default_value;
6796         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_SCENE);
6797         if (qctrl)
6798         sensor->info_priv.scene = qctrl->default_value;
6799         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_ZOOM_ABSOLUTE);
6800         if (qctrl)
6801         sensor->info_priv.digitalzoom = qctrl->default_value;
6802
6803     /* ddl@rock-chips.com : if sensor support auto focus and flash, programer must run focus and flash code  */
6804         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_ABSOLUTE);
6805         if (qctrl)
6806         sensor->info_priv.focus = qctrl->default_value;
6807         #if CONFIG_SENSOR_Focus
6808         if (sensor_af_init(client) < 0) {
6809                 sensor->info_priv.funmodule_state &= ~SENSOR_AF_IS_OK;
6810         } else {
6811                 sensor->info_priv.funmodule_state |= SENSOR_AF_IS_OK;
6812         }
6813         #endif
6814         #if CONFIG_SENSOR_Flash
6815         qctrl = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FLASH);
6816         if (qctrl)
6817         {
6818                 sensor->info_priv.flash = qctrl->default_value;
6819         }
6820     #endif
6821     SENSOR_DG("\n%s..%s.. icd->width = %d.. icd->height %d\n",SENSOR_NAME_STRING(),((val == 0)?__FUNCTION__:"sensor_reinit"),icd->user_width,icd->user_height);
6822  
6823
6824     sensor->info_priv.funmodule_state |= SENSOR_INIT_IS_OK;
6825     return 0;
6826 sensor_INIT_ERR:
6827     sensor->info_priv.funmodule_state &= ~SENSOR_INIT_IS_OK;
6828         sensor_task_lock(client,0);
6829         sensor_deactivate(client); 
6830     return ret;
6831 }
6832 static int sensor_deactivate(struct i2c_client *client)
6833 {
6834         struct soc_camera_device *icd = client->dev.platform_data;
6835         u16 reg_val = 0;
6836     struct sensor *sensor = to_sensor(client);
6837     struct reginfo reg_info;
6838     
6839         SENSOR_DG("\n%s..%s.. Enter\n",SENSOR_NAME_STRING(),__FUNCTION__);
6840
6841         /* ddl@rock-chips.com : all sensor output pin must change to input for other sensor */
6842     if (sensor->info_priv.funmodule_state & SENSOR_INIT_IS_OK) {
6843         sensor_task_lock(client, 1);
6844         
6845         sensor_read( client, 0x001a, &reg_val);
6846         reg_info.reg = 0x001a;
6847         reg_info.val = reg_val & (~0x0200);//reg_val & (~0x02);
6848         reg_info.reg_len = 0x04;
6849         sensor_write(client, &reg_info);
6850         
6851         sensor_task_lock(client, 0);
6852     }
6853         sensor_ioctrl(icd, Sensor_PowerDown, 1);
6854         /* ddl@rock-chips.com : sensor config init width , because next open sensor quickly(soc_camera_open -> Try to configure with default parameters) */
6855         icd->user_width = SENSOR_INIT_WIDTH;
6856     icd->user_height = SENSOR_INIT_HEIGHT;
6857         msleep(100);
6858     sensor->info_priv.funmodule_state &= ~SENSOR_INIT_IS_OK;
6859         return 0;
6860 }
6861 static  struct reginfo sensor_power_down_sequence[]=
6862 {
6863     {0x00,0x00}
6864 };
6865 static int sensor_suspend(struct soc_camera_device *icd, pm_message_t pm_msg)
6866 {
6867     int ret;
6868     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
6869
6870     if (pm_msg.event == PM_EVENT_SUSPEND) {
6871         SENSOR_DG("\n %s Enter Suspend.. \n", SENSOR_NAME_STRING());
6872         ret = sensor_write_array(client, sensor_power_down_sequence) ;
6873         if (ret != 0) {
6874             SENSOR_TR("\n %s..%s WriteReg Fail.. \n", SENSOR_NAME_STRING(),__FUNCTION__);
6875             return ret;
6876         } else {
6877             ret = sensor_ioctrl(icd, Sensor_PowerDown, 1);
6878             if (ret < 0) {
6879                             SENSOR_TR("\n %s suspend fail for turn on power!\n", SENSOR_NAME_STRING());
6880                 return -EINVAL;
6881             }
6882         }
6883     } else {
6884         SENSOR_TR("\n %s cann't suppout Suspend..\n",SENSOR_NAME_STRING());
6885         return -EINVAL;
6886     }
6887
6888     return 0;
6889 }
6890
6891 static int sensor_resume(struct soc_camera_device *icd)
6892 {
6893         int ret;
6894
6895     ret = sensor_ioctrl(icd, Sensor_PowerDown, 0);
6896     if (ret < 0) {
6897                 SENSOR_TR("\n %s resume fail for turn on power!\n", SENSOR_NAME_STRING());
6898         return -EINVAL;
6899     }
6900
6901         SENSOR_DG("\n %s Enter Resume.. \n", SENSOR_NAME_STRING());
6902         return 0;
6903 }
6904
6905 static int sensor_set_bus_param(struct soc_camera_device *icd,
6906                                 unsigned long flags)
6907 {
6908
6909     return 0;
6910 }
6911
6912 static unsigned long sensor_query_bus_param(struct soc_camera_device *icd)
6913 {
6914     struct soc_camera_link *icl = to_soc_camera_link(icd);
6915     unsigned long flags = SENSOR_BUS_PARAM;
6916
6917     return soc_camera_apply_sensor_flags(icl, flags);
6918 }
6919
6920 static int sensor_g_fmt(struct v4l2_subdev *sd, struct v4l2_mbus_framefmt *mf)
6921 {
6922     struct i2c_client *client = v4l2_get_subdevdata(sd);
6923     struct soc_camera_device *icd = client->dev.platform_data;
6924     struct sensor *sensor = to_sensor(client);
6925
6926     mf->width   = icd->user_width;
6927         mf->height      = icd->user_height;
6928         mf->code        = sensor->info_priv.fmt.code;
6929         mf->colorspace  = sensor->info_priv.fmt.colorspace;
6930         mf->field       = V4L2_FIELD_NONE;
6931
6932     return 0;
6933 }
6934 static bool sensor_fmt_capturechk(struct v4l2_subdev *sd, struct v4l2_mbus_framefmt *mf)
6935 {
6936     bool ret = false;
6937
6938         if ((mf->width == 1024) && (mf->height == 768)) {
6939                 ret = true;
6940         } else if ((mf->width == 1280) && (mf->height == 1024)) {
6941                 ret = true;
6942         } else if ((mf->width == 1600) && (mf->height == 1200)) {
6943                 ret = true;
6944         } else if ((mf->width == 2048) && (mf->height == 1536)) {
6945                 ret = true;
6946         } else if ((mf->width == 2592) && (mf->height == 1944)) {
6947                 ret = true;
6948         }
6949
6950         if (ret == true)
6951                 SENSOR_DG("%s %dx%d is capture format\n", __FUNCTION__, mf->width, mf->height);
6952         return ret;
6953 }
6954
6955 static bool sensor_fmt_videochk(struct v4l2_subdev *sd, struct v4l2_mbus_framefmt *mf)
6956 {
6957     bool ret = false;
6958     /*
6959         if ((mf->width == 1280) && (mf->height == 720)) {
6960                 ret = true;
6961         } else if ((mf->width == 1920) && (mf->height == 1080)) {
6962                 ret = true;
6963         }
6964     */
6965         if (ret == true)
6966                 SENSOR_DG("%s %dx%d is video format\n", __FUNCTION__, mf->width, mf->height);
6967         return ret;
6968 }
6969 static struct reginfo* sensor_fmt_catch(int set_w, int set_h, int *ret_w, int *ret_h)
6970 {
6971         struct reginfo *winseqe_set_addr = NULL;
6972
6973     if (set_w*240 == set_h*320) {   
6974         if (((set_w >= 320) && (set_h >= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
6975             winseqe_set_addr = sensor_qvga;
6976             *ret_w = 320;
6977             *ret_h = 240;
6978         } 
6979         if (((set_w >= 640) && (set_h >= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
6980             winseqe_set_addr = sensor_vga;
6981             *ret_w = 640;
6982             *ret_h = 480;
6983         } 
6984         if (((set_w >= 800) && (set_h >= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
6985             winseqe_set_addr = sensor_svga;
6986             *ret_w = 800;
6987             *ret_h = 600;
6988         } 
6989         if (((set_w >= 1024) && (set_h >= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
6990             winseqe_set_addr = sensor_xga;
6991             *ret_w = 1024;
6992             *ret_h = 768;
6993         } 
6994         if (((set_w >= 1280) && (set_h >= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
6995             winseqe_set_addr = sensor_sxga;
6996             *ret_w = 1280;
6997             *ret_h = 1024;
6998         } 
6999         if (((set_w >= 1600) && (set_h >= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7000             winseqe_set_addr = sensor_uxga;
7001             *ret_w = 1600;
7002             *ret_h = 1200;
7003         } 
7004         if (((set_w >= 2048) && (set_h >= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7005             winseqe_set_addr = sensor_qxga;
7006             *ret_w = 2048;
7007             *ret_h = 1536;
7008         } 
7009
7010         if (winseqe_set_addr == NULL) {
7011             if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7012                         winseqe_set_addr = sensor_qcif;
7013                 *ret_w = 176;
7014                 *ret_h = 144;
7015                 } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7016                 winseqe_set_addr = sensor_cif;
7017                 *ret_w = 352;
7018                 *ret_h = 288;
7019             }
7020
7021            if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7022                 winseqe_set_addr = sensor_vga;
7023                 *ret_w = 640;
7024                 *ret_h = 480;
7025             } else if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7026                 winseqe_set_addr = sensor_720p;
7027                 *ret_w = 1280;
7028                 *ret_h = 720;
7029             } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7030                 winseqe_set_addr = sensor_1080p;
7031                 *ret_w = 1920;
7032                 *ret_h = 1080;
7033             } 
7034         }
7035
7036     } else if (set_w*288 == set_h*352) {
7037         if (((set_w >= 176) && (set_h >= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7038                 winseqe_set_addr = sensor_qcif;
7039             *ret_w = 176;
7040             *ret_h = 144;
7041         } else if (((set_w >= 352) && (set_h >= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7042             winseqe_set_addr = sensor_cif;
7043             *ret_w = 352;
7044             *ret_h = 288;
7045         }
7046
7047         if (winseqe_set_addr == NULL) {
7048             if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7049                 winseqe_set_addr = sensor_qvga;
7050                 *ret_w = 320;
7051                 *ret_h = 240;
7052                 } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7053                 winseqe_set_addr = sensor_vga;
7054                 *ret_w = 640;
7055                 *ret_h = 480;
7056             } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7057                 winseqe_set_addr = sensor_svga;
7058                 *ret_w = 800;
7059                 *ret_h = 600;
7060             } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7061                 winseqe_set_addr = sensor_xga;
7062                 *ret_w = 1024;
7063                 *ret_h = 768;
7064                 } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7065                 winseqe_set_addr = sensor_sxga;
7066                 *ret_w = 1280;
7067                 *ret_h = 1024;
7068             } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7069                 winseqe_set_addr = sensor_uxga;
7070                 *ret_w = 1600;
7071                 *ret_h = 1200;
7072                 } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7073                 winseqe_set_addr = sensor_qxga;
7074                 *ret_w = 2048;
7075                 *ret_h = 1536;
7076             }       
7077
7078
7079             if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7080                 winseqe_set_addr = sensor_720p;
7081                 *ret_w = 1280;
7082                 *ret_h = 720;
7083             } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7084                 winseqe_set_addr = sensor_1080p;
7085                 *ret_w = 1920;
7086                 *ret_h = 1080;
7087             }  
7088         }
7089     } else if (set_w*720 == set_h*1280) {
7090         if (((set_w >= 1280) && (set_h >= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7091             winseqe_set_addr = sensor_720p;
7092             *ret_w = 1280;
7093             *ret_h = 720;
7094         } else if (((set_w >= 1920) && (set_h >= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7095             winseqe_set_addr = sensor_1080p;
7096             *ret_w = 1920;
7097             *ret_h = 1080;
7098         }
7099
7100         if (winseqe_set_addr == NULL) {
7101     
7102             if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7103                         winseqe_set_addr = sensor_qcif;
7104                 *ret_w = 176;
7105                 *ret_h = 144;
7106                 } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7107                 winseqe_set_addr = sensor_cif;
7108                 *ret_w = 352;
7109                 *ret_h = 288;
7110             }
7111         
7112             if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7113                 winseqe_set_addr = sensor_qvga;
7114                 *ret_w = 320;
7115                 *ret_h = 240;
7116                 } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7117                 winseqe_set_addr = sensor_vga;
7118                 *ret_w = 640;
7119                 *ret_h = 480;
7120             } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7121                 winseqe_set_addr = sensor_svga;
7122                 *ret_w = 800;
7123                 *ret_h = 600;
7124             } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7125                 winseqe_set_addr = sensor_xga;
7126                 *ret_w = 1024;
7127                 *ret_h = 768;
7128                 } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7129                 winseqe_set_addr = sensor_sxga;
7130                 *ret_w = 1280;
7131                 *ret_h = 1024;
7132             } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7133                 winseqe_set_addr = sensor_uxga;
7134                 *ret_w = 1600;
7135                 *ret_h = 1200;
7136                 } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7137                 winseqe_set_addr = sensor_qxga;
7138                 *ret_w = 2048;
7139                 *ret_h = 1536;
7140             } 
7141         }
7142     } else {
7143         if (((set_w <= 176) && (set_h <= 144)) && (sensor_qcif[0].reg!=SEQUENCE_END)) {
7144                 winseqe_set_addr = sensor_qcif;
7145             *ret_w = 176;
7146             *ret_h = 144;
7147         } else if (((set_w <= 320) && (set_h <= 240)) && (sensor_qvga[0].reg!=SEQUENCE_END)) {
7148             winseqe_set_addr = sensor_qvga;
7149             *ret_w = 320;
7150             *ret_h = 240;
7151         } else if (((set_w <= 352) && (set_h<= 288)) && (sensor_cif[0].reg!=SEQUENCE_END)) {
7152             winseqe_set_addr = sensor_cif;
7153             *ret_w = 352;
7154             *ret_h = 288;
7155         } else if (((set_w <= 640) && (set_h <= 480)) && (sensor_vga[0].reg!=SEQUENCE_END)) {
7156             winseqe_set_addr = sensor_vga;
7157             *ret_w = 640;
7158             *ret_h = 480;
7159         } else if (((set_w <= 800) && (set_h <= 600)) && (sensor_svga[0].reg!=SEQUENCE_END)) {
7160             winseqe_set_addr = sensor_svga;
7161             *ret_w = 800;
7162             *ret_h = 600;
7163         } else if (((set_w <= 1024) && (set_h <= 768)) && (sensor_xga[0].reg!=SEQUENCE_END)) {
7164             winseqe_set_addr = sensor_xga;
7165             *ret_w = 1024;
7166             *ret_h = 768;
7167         } else if (((set_w <= 1280) && (set_h <= 720)) && (sensor_720p[0].reg!=SEQUENCE_END)) {
7168             winseqe_set_addr = sensor_720p;
7169             *ret_w = 1280;
7170             *ret_h = 720;
7171         } else if (((set_w <= 1280) && (set_h <= 1024)) && (sensor_sxga[0].reg!=SEQUENCE_END)) {
7172             winseqe_set_addr = sensor_sxga;
7173             *ret_w = 1280;
7174             *ret_h = 1024;
7175         } else if (((set_w <= 1600) && (set_h <= 1200)) && (sensor_uxga[0].reg!=SEQUENCE_END)) {
7176             winseqe_set_addr = sensor_uxga;
7177             *ret_w = 1600;
7178             *ret_h = 1200;
7179         } else if (((set_w <= 1920) && (set_h <= 1080)) && (sensor_1080p[0].reg!=SEQUENCE_END)) {
7180             winseqe_set_addr = sensor_1080p;
7181             *ret_w = 1920;
7182             *ret_h = 1080;
7183         } else if (((set_w <= 2048) && (set_h <= 1536)) && (sensor_qxga[0].reg!=SEQUENCE_END)) {
7184             winseqe_set_addr = sensor_qxga;
7185             *ret_w = 2048;
7186             *ret_h = 1536;
7187         }       
7188     }
7189     
7190         return winseqe_set_addr;
7191 }
7192
7193 static int sensor_s_fmt(struct v4l2_subdev *sd, struct v4l2_mbus_framefmt *mf)
7194 {
7195     struct i2c_client *client = v4l2_get_subdevdata(sd);
7196     const struct sensor_datafmt *fmt;
7197     struct soc_camera_device *icd = client->dev.platform_data;
7198     struct sensor *sensor = to_sensor(client);
7199     struct reginfo *winseqe_set_addr=NULL;
7200     int ret = 0, set_w,set_h;
7201     //u16 seq_state=0;
7202
7203     fmt = sensor_find_datafmt(mf->code, sensor_colour_fmts,
7204                                    ARRAY_SIZE(sensor_colour_fmts));
7205         if (!fmt) {
7206         ret = -EINVAL;
7207         goto sensor_s_fmt_end;
7208     }
7209
7210         if (sensor->info_priv.fmt.code != mf->code) {
7211                 switch (mf->code)
7212                 {
7213                         case V4L2_MBUS_FMT_YUYV8_2X8:
7214                         {
7215                                 winseqe_set_addr = sensor_ClrFmt_YUYV;
7216                                 break;
7217                         }
7218                         case V4L2_MBUS_FMT_UYVY8_2X8:
7219                         {
7220                                 winseqe_set_addr = sensor_ClrFmt_UYVY;
7221                                 break;
7222                         }
7223                         default:
7224                                 break;
7225                 }
7226                 if (winseqe_set_addr != NULL) {
7227             sensor_write_array(client, winseqe_set_addr);
7228                         sensor->info_priv.fmt.code = mf->code;
7229             sensor->info_priv.fmt.colorspace= mf->colorspace;            
7230                         SENSOR_DG("%s v4l2_mbus_code:%d set success!\n", SENSOR_NAME_STRING(),mf->code);
7231                 } else {
7232                         SENSOR_TR("%s v4l2_mbus_code:%d is invalidate!\n", SENSOR_NAME_STRING(),mf->code);
7233                 }
7234         }
7235
7236     set_w = mf->width;
7237     set_h = mf->height;
7238
7239         winseqe_set_addr = sensor_fmt_catch(set_w, set_h, &set_w, &set_h);
7240
7241     if ((winseqe_set_addr  != sensor->info_priv.winseqe_cur_addr) && winseqe_set_addr) {
7242         ret |= sensor_write_array(client, winseqe_set_addr);
7243         if (ret != 0) {
7244             SENSOR_TR("%s set format capability failed\n", SENSOR_NAME_STRING());
7245             goto sensor_s_fmt_end;
7246         }
7247                 
7248         sensor->info_priv.winseqe_cur_addr  = winseqe_set_addr;
7249                 if ((winseqe_set_addr[0].reg==SEQUENCE_PROPERTY) && (winseqe_set_addr[0].val==SEQUENCE_CAPTURE)) {
7250
7251         } else {
7252                         sensor->info_priv.preview_w = mf->width;
7253                         sensor->info_priv.preview_h = mf->height;
7254                 }
7255     }
7256         
7257         if (winseqe_set_addr && (winseqe_set_addr[0].reg==SEQUENCE_PROPERTY) && (winseqe_set_addr[0].val==SEQUENCE_CAPTURE)) {
7258
7259         /*#if CONFIG_SENSOR_Flash
7260                 if( (sensor->info_priv.flash == 1)|| (sensor->info_priv.flash == 2)) {
7261                         sensor_ioctrl(icd, Sensor_Flash, Flash_On);
7262                          //sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);
7263                 }
7264         #endif   */
7265                 
7266
7267                 ret |= sensor_write_array(client, sensor_Preview2Capture);
7268                 if (ret != 0) {
7269                 SENSOR_TR("%s Preview 2 Capture failed\n", SENSOR_NAME_STRING());
7270                     goto sensor_s_fmt_end;
7271         }
7272         SENSOR_DG("%s Preview 2 Capture success!\n", SENSOR_NAME_STRING());
7273
7274         #if CONFIG_SENSOR_Flash
7275         if( (sensor->info_priv.flash == 1)|| (sensor->info_priv.flash == 2)) {
7276            sensor_ioctrl(icd, Sensor_Flash, Flash_On);
7277                          //sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);
7278             //SENSOR_DG("----flash-------%s    sensor->info_priv.flash = %d ,flash on in capture!\n", SENSOR_NAME_STRING(),sensor->info_priv.flash);
7279         }
7280         #endif 
7281        
7282                 sensor->info_priv.capture_w = set_w;
7283                 sensor->info_priv.capture_h = set_h;
7284                 sensor->info_priv.snap2preview = true;
7285         } else if (sensor->info_priv.snap2preview == true) {
7286                 if (winseqe_set_addr || ((sensor->info_priv.preview_w == mf->width) && (sensor->info_priv.preview_h == mf->height))) {
7287                         #if CONFIG_SENSOR_Flash
7288             if ((sensor->info_priv.flash == 1) || (sensor->info_priv.flash == 2)) {
7289                 sensor_ioctrl(icd, Sensor_Flash, Flash_Off);
7290             }
7291             #endif    
7292                         ret |= sensor_write_array(client, sensor_Capture2Preview);
7293                         if (ret != 0) {
7294                         SENSOR_TR("%s Capture 2 Preview failed !!\n", SENSOR_NAME_STRING());
7295                         goto sensor_s_fmt_end;
7296                 }
7297             
7298                 mdelay(200);  //delay  microseconds to forbid invalidate data
7299                         
7300             SENSOR_DG("%s Capture 2 Preview success\n", SENSOR_NAME_STRING());
7301
7302            /* #if CONFIG_SENSOR_Flash
7303             if ((sensor->info_priv.flash == 1) || (sensor->info_priv.flash == 2)) {
7304                 sensor_ioctrl(icd, Sensor_Flash, Flash_Off);
7305             }
7306             #endif     */   
7307                 sensor->info_priv.preview_w = mf->width;
7308                 sensor->info_priv.preview_h = mf->height;
7309                 sensor->info_priv.snap2preview = false;
7310                 } else {
7311                         SENSOR_TR("\n %s..%s Format is Invalidate. mf->width = %d.. mf->height = %d\n",SENSOR_NAME_STRING(),__FUNCTION__,mf->width,mf->height);
7312                 }
7313         }
7314
7315         mf->width = set_w;
7316         mf->height = set_h;
7317 sensor_s_fmt_end:
7318     return ret;
7319 }
7320
7321 static int sensor_try_fmt(struct v4l2_subdev *sd, struct v4l2_mbus_framefmt *mf)
7322 {
7323     struct i2c_client *client = v4l2_get_subdevdata(sd);
7324     struct sensor *sensor = to_sensor(client);
7325     const struct sensor_datafmt *fmt;
7326     int ret = 0;
7327    
7328         fmt = sensor_find_datafmt(mf->code, sensor_colour_fmts,
7329                                    ARRAY_SIZE(sensor_colour_fmts));
7330         if (fmt == NULL) {
7331                 fmt = &sensor->info_priv.fmt;
7332         mf->code = fmt->code;
7333         } 
7334
7335     if (mf->height > SENSOR_MAX_HEIGHT)
7336         mf->height = SENSOR_MAX_HEIGHT;
7337     else if (mf->height < SENSOR_MIN_HEIGHT)
7338         mf->height = SENSOR_MIN_HEIGHT;
7339
7340     if (mf->width > SENSOR_MAX_WIDTH)
7341         mf->width = SENSOR_MAX_WIDTH;
7342     else if (mf->width < SENSOR_MIN_WIDTH)
7343         mf->width = SENSOR_MIN_WIDTH;
7344     mf->colorspace = fmt->colorspace;
7345         if (sensor_fmt_catch(mf->width, mf->height, &mf->width, &mf->height) == NULL) {
7346                 mf->width = 0;
7347                 mf->height = 0;
7348         }
7349
7350     return ret;
7351 }
7352
7353  static int sensor_g_chip_ident(struct v4l2_subdev *sd, struct v4l2_dbg_chip_ident *id)
7354 {
7355     struct i2c_client *client = v4l2_get_subdevdata(sd);
7356
7357     if (id->match.type != V4L2_CHIP_MATCH_I2C_ADDR)
7358         return -EINVAL;
7359
7360     if (id->match.addr != client->addr)
7361         return -ENODEV;
7362
7363     id->ident = SENSOR_V4L2_IDENT;      /* ddl@rock-chips.com :  Return OV2655  identifier */
7364     id->revision = 0;
7365
7366     return 0;
7367 }
7368 #if CONFIG_SENSOR_Brightness
7369 static int sensor_set_brightness(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7370 {
7371     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7372
7373     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7374     {
7375         if (sensor_BrightnessSeqe[value - qctrl->minimum] != NULL)
7376         {
7377             if (sensor_write_array(client, sensor_BrightnessSeqe[value - qctrl->minimum]) != 0)
7378             {
7379                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7380                 return -EINVAL;
7381             }
7382             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7383             return 0;
7384         }
7385     }
7386         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7387     return -EINVAL;
7388 }
7389 #endif
7390 #if CONFIG_SENSOR_Effect
7391 static int sensor_set_effect(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7392 {
7393     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7394
7395     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7396     {
7397         if (sensor_EffectSeqe[value - qctrl->minimum] != NULL)
7398         {
7399             if (sensor_write_array(client, sensor_EffectSeqe[value - qctrl->minimum]) != 0)
7400             {
7401                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7402                 return -EINVAL;
7403             }
7404             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7405             return 0;
7406         }
7407     }
7408         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7409     return -EINVAL;
7410 }
7411 #endif
7412 #if CONFIG_SENSOR_Exposure
7413 static int sensor_set_exposure(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7414 {
7415     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7416
7417     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7418     {
7419         if (sensor_ExposureSeqe[value - qctrl->minimum] != NULL)
7420         {
7421             if (sensor_write_array(client, sensor_ExposureSeqe[value - qctrl->minimum]) != 0)
7422             {
7423                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7424                 return -EINVAL;
7425             }
7426             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7427             return 0;
7428         }
7429     }
7430         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7431     return -EINVAL;
7432 }
7433 #endif
7434 #if CONFIG_SENSOR_Saturation
7435 static int sensor_set_saturation(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7436 {
7437     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7438
7439     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7440     {
7441         if (sensor_SaturationSeqe[value - qctrl->minimum] != NULL)
7442         {
7443             if (sensor_write_array(client, sensor_SaturationSeqe[value - qctrl->minimum]) != 0)
7444             {
7445                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7446                 return -EINVAL;
7447             }
7448             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7449             return 0;
7450         }
7451     }
7452     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7453     return -EINVAL;
7454 }
7455 #endif
7456 #if CONFIG_SENSOR_Contrast
7457 static int sensor_set_contrast(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7458 {
7459     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7460
7461     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7462     {
7463         if (sensor_ContrastSeqe[value - qctrl->minimum] != NULL)
7464         {
7465             if (sensor_write_array(client, sensor_ContrastSeqe[value - qctrl->minimum]) != 0)
7466             {
7467                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7468                 return -EINVAL;
7469             }
7470             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7471             return 0;
7472         }
7473     }
7474     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7475     return -EINVAL;
7476 }
7477 #endif
7478 #if CONFIG_SENSOR_Mirror
7479 static int sensor_set_mirror(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7480 {
7481     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7482
7483     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7484     {
7485         if (sensor_MirrorSeqe[value - qctrl->minimum] != NULL)
7486         {
7487             if (sensor_write_array(client, sensor_MirrorSeqe[value - qctrl->minimum]) != 0)
7488             {
7489                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7490                 return -EINVAL;
7491             }
7492             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7493             return 0;
7494         }
7495     }
7496     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7497     return -EINVAL;
7498 }
7499 #endif
7500 #if CONFIG_SENSOR_Flip
7501 static int sensor_set_flip(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7502 {
7503     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7504
7505     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7506     {
7507         if (sensor_FlipSeqe[value - qctrl->minimum] != NULL)
7508         {
7509             if (sensor_write_array(client, sensor_FlipSeqe[value - qctrl->minimum]) != 0)
7510             {
7511                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7512                 return -EINVAL;
7513             }
7514             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7515             return 0;
7516         }
7517     }
7518     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7519     return -EINVAL;
7520 }
7521 #endif
7522 #if CONFIG_SENSOR_Scene
7523 static int sensor_set_scene(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7524 {
7525     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7526
7527     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7528     {
7529         if (sensor_SceneSeqe[value - qctrl->minimum] != NULL)
7530         {
7531             if (sensor_write_array(client, sensor_SceneSeqe[value - qctrl->minimum]) != 0)
7532             {
7533                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7534                 return -EINVAL;
7535             }
7536             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7537             return 0;
7538         }
7539     }
7540     SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7541     return -EINVAL;
7542 }
7543 #endif
7544 #if CONFIG_SENSOR_WhiteBalance
7545 static int sensor_set_whiteBalance(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7546 {
7547     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7548
7549     if ((value >= qctrl->minimum) && (value <= qctrl->maximum))
7550     {
7551         if (sensor_WhiteBalanceSeqe[value - qctrl->minimum] != NULL)
7552         {
7553             if (sensor_write_array(client, sensor_WhiteBalanceSeqe[value - qctrl->minimum]) != 0)
7554             {
7555                 SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7556                 return -EINVAL;
7557             }
7558             SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7559             return 0;
7560         }
7561     }
7562         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7563     return -EINVAL;
7564 }
7565 #endif
7566 #if CONFIG_SENSOR_DigitalZoom
7567 static int sensor_set_digitalzoom(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int *value)
7568 {
7569     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7570     struct sensor *sensor = to_sensor(client);
7571         const struct v4l2_queryctrl *qctrl_info;
7572     int digitalzoom_cur, digitalzoom_total;
7573
7574         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_ZOOM_ABSOLUTE);
7575         if (qctrl_info)
7576                 return -EINVAL;
7577
7578     digitalzoom_cur = sensor->info_priv.digitalzoom;
7579     digitalzoom_total = qctrl_info->maximum;
7580
7581     if ((*value > 0) && (digitalzoom_cur >= digitalzoom_total))
7582     {
7583         SENSOR_TR("%s digitalzoom is maximum - %x\n", SENSOR_NAME_STRING(), digitalzoom_cur);
7584         return -EINVAL;
7585     }
7586
7587     if  ((*value < 0) && (digitalzoom_cur <= qctrl_info->minimum))
7588     {
7589         SENSOR_TR("%s digitalzoom is minimum - %x\n", SENSOR_NAME_STRING(), digitalzoom_cur);
7590         return -EINVAL;
7591     }
7592
7593     if ((*value > 0) && ((digitalzoom_cur + *value) > digitalzoom_total))
7594     {
7595         *value = digitalzoom_total - digitalzoom_cur;
7596     }
7597
7598     if ((*value < 0) && ((digitalzoom_cur + *value) < 0))
7599     {
7600         *value = 0 - digitalzoom_cur;
7601     }
7602
7603     digitalzoom_cur += *value;
7604
7605     if (sensor_ZoomSeqe[digitalzoom_cur] != NULL)
7606     {
7607         if (sensor_write_array(client, sensor_ZoomSeqe[digitalzoom_cur]) != 0)
7608         {
7609             SENSOR_TR("%s..%s WriteReg Fail.. \n",SENSOR_NAME_STRING(), __FUNCTION__);
7610             return -EINVAL;
7611         }
7612         SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, *value);
7613         return 0;
7614     }
7615
7616     return -EINVAL;
7617 }
7618 #endif
7619 #if CONFIG_SENSOR_Flash
7620 static int sensor_set_flash(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7621 {       
7622     if ((value >= qctrl->minimum) && (value <= qctrl->maximum)) {
7623         if (value == 3) {       /* ddl@rock-chips.com: torch */
7624             sensor_ioctrl(icd, Sensor_Flash, Flash_Torch);   /* Flash On */
7625         } else {
7626             sensor_ioctrl(icd, Sensor_Flash, Flash_Off);
7627         }
7628         SENSOR_DG("%s..%s : %x\n",SENSOR_NAME_STRING(),__FUNCTION__, value);
7629         return 0;
7630     }
7631     
7632         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7633     return -EINVAL;
7634 }
7635 #endif
7636 #if CONFIG_SENSOR_Focus
7637 static int sensor_set_focus_absolute(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7638 {
7639         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7640     struct sensor *sensor = to_sensor(client);
7641         const struct v4l2_queryctrl *qctrl_info;
7642         int ret = 0;
7643
7644         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_ABSOLUTE);
7645         if (!qctrl_info)
7646         {
7647                 return -EINVAL;
7648         }
7649         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK) && (sensor->info_priv.affm_reinit == 0)) {
7650                 if ((value >= qctrl_info->minimum) && (value <= qctrl_info->maximum)) {
7651
7652                         SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7653                 } else {
7654                         ret = -EINVAL;
7655                         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7656                         goto sensor_set_focus_absolute_end;
7657                 }
7658         } else {
7659                 ret = -EACCES;
7660                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7661                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7662         }
7663
7664 sensor_set_focus_absolute_end:
7665         return ret;
7666 }
7667 static int sensor_set_focus_relative(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7668 {
7669         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7670         struct sensor *sensor = to_sensor(client);
7671         const struct v4l2_queryctrl *qctrl_info;
7672         int ret = 0;
7673
7674         qctrl_info = soc_camera_find_qctrl(&sensor_ops, V4L2_CID_FOCUS_RELATIVE);
7675         if (!qctrl_info)
7676         {
7677                 ret = -EINVAL;
7678                 goto sensor_set_focus_relative_end;
7679                 //return -EINVAL;
7680         }
7681         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK) && (sensor->info_priv.affm_reinit == 0)) {
7682                 if ((value >= qctrl_info->minimum) && (value <= qctrl_info->maximum)) {
7683
7684                         SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7685                 } else {
7686                         ret = -EINVAL;
7687                         SENSOR_TR("\n %s..%s valure = %d is invalidate..    \n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7688                 }
7689         } else {
7690                 ret = -EACCES;
7691                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7692                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7693         }
7694 sensor_set_focus_relative_end:
7695         return ret;
7696 }
7697
7698 static int sensor_set_focus_mode(struct soc_camera_device *icd, const struct v4l2_queryctrl *qctrl, int value)
7699 {
7700         struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7701         struct sensor *sensor = to_sensor(client);
7702         int ret = 0;
7703         if ((sensor->info_priv.funmodule_state & SENSOR_AF_IS_OK)  && (sensor->info_priv.affm_reinit == 0)) {
7704                 switch (value)
7705                 {
7706                         case SENSOR_AF_MODE_AUTO:
7707                         {
7708                                 ret = sensor_af_single(client);
7709                                 break;
7710                         }
7711
7712                         case SENSOR_AF_MODE_MACRO:
7713                         {
7714                                 ret = sensor_set_focus_absolute(icd, qctrl, 0xff);
7715                                 break;
7716                         }
7717
7718                         case SENSOR_AF_MODE_INFINITY:
7719                         {
7720                                 ret = sensor_set_focus_absolute(icd, qctrl, 0x00);
7721                                 break;
7722                         }
7723
7724                         case SENSOR_AF_MODE_CONTINUOUS:
7725                         {
7726                                 ret = sensor_af_const(client);
7727                                 break;
7728                         }
7729                         default:
7730                                 SENSOR_TR("\n %s..%s AF value(0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,value);
7731                                 break;
7732
7733                 }
7734
7735                 SENSOR_DG("%s..%s : %d  ret:0x%x\n",SENSOR_NAME_STRING(),__FUNCTION__, value,ret);
7736         } else {
7737                 ret = -EACCES;
7738                 SENSOR_TR("\n %s..%s AF module state(0x%x, 0x%x) is error!\n",SENSOR_NAME_STRING(),__FUNCTION__,
7739                         sensor->info_priv.funmodule_state,sensor->info_priv.affm_reinit);
7740         }
7741
7742         return ret;
7743 }
7744 #endif
7745 static int sensor_g_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl)
7746 {
7747     struct i2c_client *client = v4l2_get_subdevdata(sd);
7748     struct sensor *sensor = to_sensor(client);
7749     const struct v4l2_queryctrl *qctrl;
7750     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7751
7752     qctrl = soc_camera_find_qctrl(&sensor_ops, ctrl->id);
7753
7754     if (!qctrl)
7755     {
7756         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ctrl->id);
7757         return -EINVAL;
7758     }
7759
7760     switch (ctrl->id)
7761     {
7762         case V4L2_CID_BRIGHTNESS:
7763             {
7764                 ctrl->value = sensor->info_priv.brightness;
7765                 break;
7766             }
7767         case V4L2_CID_SATURATION:
7768             {
7769                 ctrl->value = sensor->info_priv.saturation;
7770                 break;
7771             }
7772         case V4L2_CID_CONTRAST:
7773             {
7774                 ctrl->value = sensor->info_priv.contrast;
7775                 break;
7776             }
7777         case V4L2_CID_DO_WHITE_BALANCE:
7778             {
7779                 ctrl->value = sensor->info_priv.whiteBalance;
7780                 break;
7781             }
7782         case V4L2_CID_EXPOSURE:
7783             {
7784                 ctrl->value = sensor->info_priv.exposure;
7785                 break;
7786             }
7787         case V4L2_CID_HFLIP:
7788             {
7789                 ctrl->value = sensor->info_priv.mirror;
7790                 break;
7791             }
7792         case V4L2_CID_VFLIP:
7793             {
7794                 ctrl->value = sensor->info_priv.flip;
7795                 break;
7796             }
7797         default :
7798                 break;
7799     }
7800     return 0;
7801 }
7802
7803
7804
7805 static int sensor_s_control(struct v4l2_subdev *sd, struct v4l2_control *ctrl)
7806 {
7807     struct i2c_client *client = v4l2_get_subdevdata(sd);
7808     struct sensor *sensor = to_sensor(client);
7809     struct soc_camera_device *icd = client->dev.platform_data;
7810     const struct v4l2_queryctrl *qctrl;
7811     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7812
7813
7814     qctrl = soc_camera_find_qctrl(&sensor_ops, ctrl->id);
7815
7816     if (!qctrl)
7817     {
7818         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ctrl->id);
7819         return -EINVAL;
7820     }
7821         
7822     switch (ctrl->id)
7823     {
7824 #if CONFIG_SENSOR_Brightness
7825         case V4L2_CID_BRIGHTNESS:
7826             {
7827                 if (ctrl->value != sensor->info_priv.brightness)
7828                 {
7829                     if (sensor_set_brightness(icd, qctrl,ctrl->value) != 0)
7830                     {
7831                         return -EINVAL;
7832                     }
7833                     sensor->info_priv.brightness = ctrl->value;
7834                 }
7835                 break;
7836             }
7837 #endif
7838 #if CONFIG_SENSOR_Exposure
7839         case V4L2_CID_EXPOSURE:
7840             {
7841                 if (ctrl->value != sensor->info_priv.exposure)
7842                 {
7843                     if (sensor_set_exposure(icd, qctrl,ctrl->value) != 0)
7844                     {
7845                         return -EINVAL;
7846                     }
7847                     sensor->info_priv.exposure = ctrl->value;
7848                 }
7849                 break;
7850             }
7851 #endif
7852 #if CONFIG_SENSOR_Saturation
7853         case V4L2_CID_SATURATION:
7854             {
7855                 if (ctrl->value != sensor->info_priv.saturation)
7856                 {
7857                     if (sensor_set_saturation(icd, qctrl,ctrl->value) != 0)
7858                     {
7859                         return -EINVAL;
7860                     }
7861                     sensor->info_priv.saturation = ctrl->value;
7862                 }
7863                 break;
7864             }
7865 #endif
7866 #if CONFIG_SENSOR_Contrast
7867         case V4L2_CID_CONTRAST:
7868             {
7869                 if (ctrl->value != sensor->info_priv.contrast)
7870                 {
7871                     if (sensor_set_contrast(icd, qctrl,ctrl->value) != 0)
7872                     {
7873                         return -EINVAL;
7874                     }
7875                     sensor->info_priv.contrast = ctrl->value;
7876                 }
7877                 break;
7878             }
7879 #endif
7880 #if CONFIG_SENSOR_WhiteBalance
7881         case V4L2_CID_DO_WHITE_BALANCE:
7882             {
7883                 if (ctrl->value != sensor->info_priv.whiteBalance)
7884                 {
7885                     if (sensor_set_whiteBalance(icd, qctrl,ctrl->value) != 0)
7886                     {
7887                         return -EINVAL;
7888                     }
7889                     sensor->info_priv.whiteBalance = ctrl->value;
7890                 }
7891                 break;
7892             }
7893 #endif
7894 #if CONFIG_SENSOR_Mirror
7895         case V4L2_CID_HFLIP:
7896             {
7897                 if (ctrl->value != sensor->info_priv.mirror)
7898                 {
7899                     if (sensor_set_mirror(icd, qctrl,ctrl->value) != 0)
7900                         return -EINVAL;
7901                     sensor->info_priv.mirror = ctrl->value;
7902                 }
7903                 break;
7904             }
7905 #endif
7906 #if CONFIG_SENSOR_Flip
7907         case V4L2_CID_VFLIP:
7908             {
7909                 if (ctrl->value != sensor->info_priv.flip)
7910                 {
7911                     if (sensor_set_flip(icd, qctrl,ctrl->value) != 0)
7912                         return -EINVAL;
7913                     sensor->info_priv.flip = ctrl->value;
7914                 }
7915                 break;
7916             }
7917 #endif
7918         default:
7919             break;
7920     }
7921
7922     return 0;
7923 }
7924 static int sensor_g_ext_control(struct soc_camera_device *icd , struct v4l2_ext_control *ext_ctrl)
7925 {
7926     const struct v4l2_queryctrl *qctrl;
7927     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7928     struct sensor *sensor = to_sensor(client);
7929     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
7930
7931     qctrl = soc_camera_find_qctrl(&sensor_ops, ext_ctrl->id);
7932
7933     if (!qctrl)
7934     {
7935         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ext_ctrl->id);
7936         return -EINVAL;
7937     }
7938
7939     switch (ext_ctrl->id)
7940     {
7941         case V4L2_CID_SCENE:
7942             {
7943                 ext_ctrl->value = sensor->info_priv.scene;
7944                 break;
7945             }
7946         case V4L2_CID_EFFECT:
7947             {
7948                 ext_ctrl->value = sensor->info_priv.effect;
7949                 break;
7950             }
7951         case V4L2_CID_ZOOM_ABSOLUTE:
7952             {
7953                 ext_ctrl->value = sensor->info_priv.digitalzoom;
7954                 break;
7955             }
7956         case V4L2_CID_ZOOM_RELATIVE:
7957             {
7958                 return -EINVAL;
7959             }
7960         case V4L2_CID_FOCUS_ABSOLUTE:
7961             {
7962                 return -EINVAL;
7963             }
7964         case V4L2_CID_FOCUS_RELATIVE:
7965             {
7966                 return -EINVAL;
7967             }
7968         case V4L2_CID_FLASH:
7969             {
7970                 ext_ctrl->value = sensor->info_priv.flash;
7971                 break;
7972             }
7973         default :
7974             break;
7975     }
7976     return 0;
7977 }
7978 static int sensor_s_ext_control(struct soc_camera_device *icd, struct v4l2_ext_control *ext_ctrl)
7979 {
7980     const struct v4l2_queryctrl *qctrl;
7981     struct i2c_client *client = to_i2c_client(to_soc_camera_control(icd));
7982     struct sensor *sensor = to_sensor(client);
7983     int val_offset;
7984
7985     qctrl = soc_camera_find_qctrl(&sensor_ops, ext_ctrl->id);
7986
7987     if (!qctrl)
7988     {
7989         SENSOR_TR("\n %s ioctrl id = 0x%x  is invalidate \n", SENSOR_NAME_STRING(), ext_ctrl->id);
7990         return -EINVAL;
7991     }
7992
7993         val_offset = 0;
7994     switch (ext_ctrl->id)
7995     {
7996 #if CONFIG_SENSOR_Scene
7997         case V4L2_CID_SCENE:
7998             {
7999                 if (ext_ctrl->value != sensor->info_priv.scene)
8000                 {
8001                     if (sensor_set_scene(icd, qctrl,ext_ctrl->value) != 0)
8002                         return -EINVAL;
8003                     sensor->info_priv.scene = ext_ctrl->value;
8004                 }
8005                 break;
8006             }
8007 #endif
8008 #if CONFIG_SENSOR_Effect
8009         case V4L2_CID_EFFECT:
8010             {
8011                 if (ext_ctrl->value != sensor->info_priv.effect)
8012                 {
8013                     if (sensor_set_effect(icd, qctrl,ext_ctrl->value) != 0)
8014                         return -EINVAL;
8015                     sensor->info_priv.effect= ext_ctrl->value;
8016                 }
8017                 break;
8018             }
8019 #endif
8020 #if CONFIG_SENSOR_DigitalZoom
8021         case V4L2_CID_ZOOM_ABSOLUTE:
8022             {
8023                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8024                     return -EINVAL;
8025
8026                 if (ext_ctrl->value != sensor->info_priv.digitalzoom)
8027                 {
8028                     val_offset = ext_ctrl->value -sensor->info_priv.digitalzoom;
8029
8030                     if (sensor_set_digitalzoom(icd, qctrl,&val_offset) != 0)
8031                         return -EINVAL;
8032                     sensor->info_priv.digitalzoom += val_offset;
8033
8034                     SENSOR_DG("%s digitalzoom is %x\n",SENSOR_NAME_STRING(),  sensor->info_priv.digitalzoom);
8035                 }
8036
8037                 break;
8038             }
8039         case V4L2_CID_ZOOM_RELATIVE:
8040             {
8041                 if (ext_ctrl->value)
8042                 {
8043                     if (sensor_set_digitalzoom(icd, qctrl,&ext_ctrl->value) != 0)
8044                         return -EINVAL;
8045                     sensor->info_priv.digitalzoom += ext_ctrl->value;
8046
8047                     SENSOR_DG("%s digitalzoom is %x\n", SENSOR_NAME_STRING(), sensor->info_priv.digitalzoom);
8048                 }
8049                 break;
8050             }
8051 #endif
8052 #if CONFIG_SENSOR_Focus
8053         case V4L2_CID_FOCUS_ABSOLUTE:
8054             {
8055                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8056                     return -EINVAL;
8057
8058                                 if (sensor_set_focus_absolute(icd, qctrl,ext_ctrl->value) == 0) {
8059                                         if (ext_ctrl->value == qctrl->minimum) {
8060                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_INFINITY;
8061                                         } else if (ext_ctrl->value == qctrl->maximum) {
8062                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_MACRO;
8063                                         } else {
8064                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_FIXED;
8065                                         }
8066                                 }
8067
8068                 break;
8069             }
8070         case V4L2_CID_FOCUS_RELATIVE:
8071             {
8072                 if ((ext_ctrl->value < qctrl->minimum) || (ext_ctrl->value > qctrl->maximum))
8073                     return -EINVAL;
8074
8075                 sensor_set_focus_relative(icd, qctrl,ext_ctrl->value);
8076                 break;
8077             }
8078                 case V4L2_CID_FOCUS_AUTO:
8079                         {
8080                                 if (ext_ctrl->value == 1) {
8081                                         if (sensor_set_focus_mode(icd, qctrl,SENSOR_AF_MODE_AUTO) != 0)
8082                                                 return -EINVAL;
8083                                         sensor->info_priv.auto_focus = SENSOR_AF_MODE_AUTO;
8084                                 } else if (SENSOR_AF_MODE_AUTO == sensor->info_priv.auto_focus){
8085                                         if (ext_ctrl->value == 0)
8086                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CLOSE;
8087                                 }
8088                                 break;
8089                         }
8090                 case V4L2_CID_FOCUS_CONTINUOUS:
8091                         {
8092                                 if (SENSOR_AF_MODE_CONTINUOUS != sensor->info_priv.auto_focus) {
8093                                         if (ext_ctrl->value == 1) {
8094                                                 if (sensor_set_focus_mode(icd, qctrl,SENSOR_AF_MODE_CONTINUOUS) != 0)
8095                                                         return -EINVAL;
8096                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CONTINUOUS;
8097                                         }
8098                                 } else {
8099                                         if (ext_ctrl->value == 0)
8100                                                 sensor->info_priv.auto_focus = SENSOR_AF_MODE_CLOSE;
8101                                 }
8102                                 break;
8103                         }
8104 #endif
8105 #if CONFIG_SENSOR_Flash
8106         case V4L2_CID_FLASH:
8107             {
8108                 if (sensor_set_flash(icd, qctrl,ext_ctrl->value) != 0)
8109                     return -EINVAL;
8110                 sensor->info_priv.flash = ext_ctrl->value;
8111                 break;
8112             }
8113 #endif
8114         default:
8115             break;
8116     }
8117
8118     return 0;
8119 }
8120
8121 static int sensor_g_ext_controls(struct v4l2_subdev *sd, struct v4l2_ext_controls *ext_ctrl)
8122 {
8123     struct i2c_client *client = v4l2_get_subdevdata(sd);
8124     struct soc_camera_device *icd = client->dev.platform_data;
8125     int i, error_cnt=0, error_idx=-1;
8126     
8127     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8128
8129
8130     for (i=0; i<ext_ctrl->count; i++) {
8131         if (sensor_g_ext_control(icd, &ext_ctrl->controls[i]) != 0) {
8132             error_cnt++;
8133             error_idx = i;
8134         }
8135     }
8136
8137     if (error_cnt > 1)
8138         error_idx = ext_ctrl->count;
8139
8140     if (error_idx != -1) {
8141         ext_ctrl->error_idx = error_idx;
8142         return -EINVAL;
8143     } else {
8144         return 0;
8145     }
8146 }
8147
8148 static int sensor_s_ext_controls(struct v4l2_subdev *sd, struct v4l2_ext_controls *ext_ctrl)
8149 {
8150     struct i2c_client *client = v4l2_get_subdevdata(sd);
8151     struct soc_camera_device *icd = client->dev.platform_data;
8152     int i, error_cnt=0, error_idx=-1;    
8153
8154     for (i=0; i<ext_ctrl->count; i++) {
8155         if (sensor_s_ext_control(icd, &ext_ctrl->controls[i]) != 0) {
8156             error_cnt++;
8157             error_idx = i;
8158         }
8159     }
8160
8161     if (error_cnt > 1)
8162         error_idx = ext_ctrl->count;
8163
8164     if (error_idx != -1) {
8165         ext_ctrl->error_idx = error_idx;
8166         return -EINVAL;
8167     } else {
8168         return 0;
8169     }
8170 }
8171
8172 static int sensor_s_stream(struct v4l2_subdev *sd, int enable)
8173 {
8174         struct i2c_client *client = v4l2_get_subdevdata(sd);
8175     struct sensor *sensor = to_sensor(client);
8176
8177         if (enable == 1) {
8178                 sensor->info_priv.enable = 1;
8179         } else if (enable == 0) {
8180                 sensor->info_priv.enable = 0;
8181         }
8182
8183         return 0;
8184 }
8185
8186 /* Interface active, can use i2c. If it fails, it can indeed mean, that
8187  * this wasn't our capture interface, so, we wait for the right one */
8188 static int sensor_video_probe(struct soc_camera_device *icd,
8189                                struct i2c_client *client)
8190 {
8191     int ret,pid = 0;
8192     struct sensor *sensor = to_sensor(client);
8193     #if (SENSOR_RESET_REG != SEQUENCE_END)
8194     struct reginfo reg_info;
8195     #endif
8196     
8197     /* We must have a parent by now. And it cannot be a wrong one.
8198      * So this entire test is completely redundant. */
8199     if (!icd->dev.parent ||
8200             to_soc_camera_host(icd->dev.parent)->nr != icd->iface)
8201                 return -ENODEV;
8202
8203         if (sensor_ioctrl(icd, Sensor_PowerDown, 0) < 0) {
8204                 ret = -ENODEV;
8205                 goto sensor_video_probe_err;
8206         }
8207     /* soft reset */
8208 #if (SENSOR_RESET_REG != SEQUENCE_END)
8209         reg_info.reg = SENSOR_RESET_REG;
8210         reg_info.val = SENSOR_RESET_VAL;
8211         reg_info.reg_len = SENSOR_RESET_REG_LEN;
8212     ret = sensor_write(client, &reg_info);
8213     if (ret != 0) {
8214         SENSOR_TR("%s soft reset sensor failed\n",SENSOR_NAME_STRING());
8215         ret = -ENODEV;
8216                 goto sensor_video_probe_err;
8217     }
8218     mdelay(5);  //delay 5 microseconds
8219 #endif
8220
8221         /* check if it is an sensor sensor */
8222 #if (SENSOR_ID_REG != SEQUENCE_END)
8223     ret = sensor_read(client, SENSOR_ID_REG, &pid);
8224     if (ret != 0) {
8225         SENSOR_TR("read chip id failed\n");
8226         ret = -ENODEV;
8227         goto sensor_video_probe_err;
8228     }
8229
8230     SENSOR_DG("\n %s  pid = 0x%x \n", SENSOR_NAME_STRING(), pid);
8231 #else
8232         pid = SENSOR_ID;
8233 #endif
8234     if (pid == SENSOR_ID) {
8235         sensor->model = SENSOR_V4L2_IDENT;
8236     } else {
8237         SENSOR_TR("error: %s mismatched   pid = 0x%x\n", SENSOR_NAME_STRING(), pid);
8238         ret = -ENODEV;
8239         goto sensor_video_probe_err;
8240     }
8241
8242
8243     return 0;
8244
8245 sensor_video_probe_err:
8246
8247     return ret;
8248 }
8249 static long sensor_ioctl(struct v4l2_subdev *sd, unsigned int cmd, void *arg)
8250 {
8251         struct i2c_client *client = v4l2_get_subdevdata(sd);
8252     struct soc_camera_device *icd = client->dev.platform_data;
8253     struct sensor *sensor = to_sensor(client);
8254     int ret = 0;
8255     #if CONFIG_SENSOR_Flash     
8256     int i;
8257     #endif
8258     
8259         SENSOR_DG("\n%s..%s..cmd:%x \n",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
8260         switch (cmd)
8261         {
8262                 case RK29_CAM_SUBDEV_DEACTIVATE:
8263                 {
8264                         sensor_deactivate(client);
8265                         break;
8266                 }
8267                 case RK29_CAM_SUBDEV_IOREQUEST:
8268                 {
8269                         sensor->sensor_io_request = (struct rk29camera_platform_data*)arg;           
8270             if (sensor->sensor_io_request != NULL) { 
8271                 sensor->sensor_gpio_res = NULL;
8272                 for (i=0; i<RK29_CAM_SUPPORT_NUMS;i++) {
8273                     if (sensor->sensor_io_request->gpio_res[i].dev_name && 
8274                         (strcmp(sensor->sensor_io_request->gpio_res[i].dev_name, dev_name(icd->pdev)) == 0)) {
8275                         sensor->sensor_gpio_res = (struct rk29camera_gpio_res*)&sensor->sensor_io_request->gpio_res[i];
8276                     }
8277                 }
8278                 if (sensor->sensor_gpio_res == NULL) {
8279                     SENSOR_TR("%s %s obtain gpio resource failed when RK29_CAM_SUBDEV_IOREQUEST \n",SENSOR_NAME_STRING(),__FUNCTION__);
8280                     ret = -EINVAL;
8281                     goto sensor_ioctl_end;
8282                 }
8283             } else {
8284                 SENSOR_TR("%s %s RK29_CAM_SUBDEV_IOREQUEST fail\n",SENSOR_NAME_STRING(),__FUNCTION__);
8285                 ret = -EINVAL;
8286                 goto sensor_ioctl_end;
8287             }
8288             /* ddl@rock-chips.com : if gpio_flash havn't been set in board-xxx.c, sensor driver must notify is not support flash control 
8289                for this project */
8290             #if CONFIG_SENSOR_Flash     
8291                 if (sensor->sensor_gpio_res) {
8292                 if (sensor->sensor_gpio_res->gpio_flash == INVALID_GPIO) {
8293                     for (i = 0; i < icd->ops->num_controls; i++) {
8294                                 if (V4L2_CID_FLASH == icd->ops->controls[i].id) {
8295                                         memset(&icd->ops->controls[i],0x00,sizeof(struct v4l2_queryctrl));                                      
8296                                 }
8297                     }
8298                     sensor->info_priv.flash = 0xff;
8299                 }
8300                 }
8301             #endif
8302                         break;
8303                 }
8304                 default:
8305                 {
8306                         SENSOR_TR("%s %s cmd(0x%x) is unknown !\n",SENSOR_NAME_STRING(),__FUNCTION__,cmd);
8307                         break;
8308                 }
8309         }
8310 sensor_ioctl_end:
8311         return ret;
8312
8313 }
8314 static int sensor_enum_fmt(struct v4l2_subdev *sd, unsigned int index,
8315                             enum v4l2_mbus_pixelcode *code)
8316 {
8317         if (index >= ARRAY_SIZE(sensor_colour_fmts))
8318                 return -EINVAL;
8319
8320         *code = sensor_colour_fmts[index].code;
8321         return 0;
8322 }
8323 static struct v4l2_subdev_core_ops sensor_subdev_core_ops = {
8324         .init           = sensor_init,
8325         .g_ctrl         = sensor_g_control,
8326         .s_ctrl         = sensor_s_control,
8327         .g_ext_ctrls          = sensor_g_ext_controls,
8328         .s_ext_ctrls          = sensor_s_ext_controls,
8329         .g_chip_ident   = sensor_g_chip_ident,
8330         .ioctl = sensor_ioctl,
8331 };
8332 static struct v4l2_subdev_video_ops sensor_subdev_video_ops = {
8333         .s_mbus_fmt     = sensor_s_fmt,
8334         .g_mbus_fmt     = sensor_g_fmt,
8335         .try_mbus_fmt   = sensor_try_fmt,
8336         .enum_mbus_fmt  = sensor_enum_fmt,
8337         .s_stream   = sensor_s_stream,
8338 };
8339
8340 static struct v4l2_subdev_ops sensor_subdev_ops = {
8341         .core   = &sensor_subdev_core_ops,
8342         .video = &sensor_subdev_video_ops,
8343 };
8344
8345 static int sensor_probe(struct i2c_client *client,
8346                          const struct i2c_device_id *did)
8347 {
8348     struct sensor *sensor;
8349     struct soc_camera_device *icd = client->dev.platform_data;
8350     struct i2c_adapter *adapter = to_i2c_adapter(client->dev.parent);
8351     struct soc_camera_link *icl;
8352     int ret;
8353
8354     SENSOR_DG("\n%s..%s..%d..\n",__FUNCTION__,__FILE__,__LINE__);
8355     if (!icd) {
8356         dev_err(&client->dev, "%s: missing soc-camera data!\n",SENSOR_NAME_STRING());
8357         return -EINVAL;
8358     }
8359
8360     icl = to_soc_camera_link(icd);
8361     if (!icl) {
8362         dev_err(&client->dev, "%s driver needs platform data\n", SENSOR_NAME_STRING());
8363         return -EINVAL;
8364     }
8365
8366     if (!i2c_check_functionality(adapter, I2C_FUNC_I2C)) {
8367         dev_warn(&adapter->dev,
8368                  "I2C-Adapter doesn't support I2C_FUNC_I2C\n");
8369         return -EIO;
8370     }
8371
8372     sensor = kzalloc(sizeof(struct sensor), GFP_KERNEL);
8373     if (!sensor)
8374         return -ENOMEM;
8375
8376     v4l2_i2c_subdev_init(&sensor->subdev, client, &sensor_subdev_ops);
8377
8378     /* Second stage probe - when a capture adapter is there */
8379     icd->ops            = &sensor_ops;
8380     sensor->info_priv.fmt = sensor_colour_fmts[0];
8381         #if CONFIG_SENSOR_I2C_NOSCHED
8382         atomic_set(&sensor->tasklock_cnt,0);
8383         #endif
8384
8385     ret = sensor_video_probe(icd, client);
8386     if (ret < 0) {
8387         icd->ops = NULL;
8388         i2c_set_clientdata(client, NULL);
8389         kfree(sensor);
8390                 sensor = NULL;
8391     }
8392     SENSOR_DG("\n%s..%s..%d  ret = %x \n",__FUNCTION__,__FILE__,__LINE__,ret);
8393     return ret;
8394 }
8395
8396 static int sensor_remove(struct i2c_client *client)
8397 {
8398     struct sensor *sensor = to_sensor(client);
8399     struct soc_camera_device *icd = client->dev.platform_data;
8400
8401         #if CONFIG_SENSOR_Focus
8402         if (sensor->sensor_wq) {
8403                 destroy_workqueue(sensor->sensor_wq);
8404                 sensor->sensor_wq = NULL;
8405         }
8406         #endif
8407
8408     icd->ops = NULL;
8409     i2c_set_clientdata(client, NULL);
8410     client->driver = NULL;
8411     kfree(sensor);
8412         sensor = NULL;
8413     return 0;
8414 }
8415
8416 static const struct i2c_device_id sensor_id[] = {
8417         {SENSOR_NAME_STRING(), 0 },
8418         { }
8419 };
8420 MODULE_DEVICE_TABLE(i2c, sensor_id);
8421
8422 static struct i2c_driver sensor_i2c_driver = {
8423         .driver = {
8424                 .name = SENSOR_NAME_STRING(),
8425         },
8426         .probe          = sensor_probe,
8427         .remove         = sensor_remove,
8428         .id_table       = sensor_id,
8429 };
8430
8431 static int __init sensor_mod_init(void)
8432 {
8433     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8434     return i2c_add_driver(&sensor_i2c_driver);
8435 }
8436
8437 static void __exit sensor_mod_exit(void)
8438 {
8439     SENSOR_DG("\n%s..%s.. \n",__FUNCTION__,SENSOR_NAME_STRING());
8440     i2c_del_driver(&sensor_i2c_driver);
8441 }
8442
8443 device_initcall_sync(sensor_mod_init);
8444 module_exit(sensor_mod_exit);
8445
8446 MODULE_DESCRIPTION(SENSOR_NAME_STRING(Camera sensor driver));
8447 MODULE_AUTHOR("ddl <kernel@rock-chips>");
8448 MODULE_LICENSE("GPL");
8449
8450